File tree Expand file tree Collapse file tree 9 files changed +20
-13
lines changed
Expand file tree Collapse file tree 9 files changed +20
-13
lines changed Original file line number Diff line number Diff line change @@ -4,7 +4,7 @@ source $ad_hdl_dir/library/scripts/adi_xilinx_device_info_enc.tcl
44# check tool version
55
66if {![info exists REQUIRED_VIVADO_VERSION]} {
7- set REQUIRED_VIVADO_VERSION " 2020.1 "
7+ set REQUIRED_VIVADO_VERSION " 2020.2 "
88}
99
1010if {[info exists ::env(ADI_IGNORE_VERSION_CHECK)]} {
Original file line number Diff line number Diff line change @@ -39,10 +39,10 @@ module axi_xcvrlb #(
3939
4040 // parameters
4141
42- parameter CPLL_FBDIV = 1 ,
43- parameter CPLL_FBDIV_4_5 = 5 ,
42+ parameter integer CPLL_FBDIV = 1 ,
43+ parameter integer CPLL_FBDIV_4_5 = 5 ,
4444 parameter NUM_OF_LANES = 1 ,
45- parameter XCVR_TYPE = 2 ) (
45+ parameter integer XCVR_TYPE = 2 ) (
4646
4747 // transceiver interface
4848
Original file line number Diff line number Diff line change @@ -17,6 +17,10 @@ adi_ip_properties_lite axi_xcvrlb
1717adi_init_bd_tcl
1818adi_ip_bd axi_xcvrlb " bd/bd.tcl"
1919
20+ adi_ip_add_core_dependencies { \
21+ analog.com:user:util_cdc:1.0 \
22+ }
23+
2024ipx::remove_all_bus_interface [ipx::current_core]
2125
2226set_property driver_value 0 [ipx::get_ports -filter " direction==in" -of_objects [ipx::current_core]]
Original file line number Diff line number Diff line change 11
2- set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_rx_rst_done*}]
3- set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_tx_rst_done*}]
4- set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *rx_rate*}]
5- set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *tx_rate*}]
2+ set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_rx_rst_done*}]
3+ set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_tx_rst_done*}]
4+ set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *rx_rate*}]
5+ set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *tx_rate*}]
66
77set_false_path -to [get_cells -hier -filter {name =~ *up_rx_rst_done_m1_reg && IS_SEQUENTIAL}]
88set_false_path -to [get_cells -hier -filter {name =~ *up_tx_rst_done_m1_reg && IS_SEQUENTIAL}]
Original file line number Diff line number Diff line change @@ -53,6 +53,11 @@ adi_project_files ad9082_fmca_ebz_vcu118 [list \
5353 " $ad_hdl_dir /library/common/ad_iobuf.v" \
5454 " $ad_hdl_dir /projects/common/vcu118/vcu118_system_constr.xdc" ]
5555
56+ # Avoid critical warning in OOC mode from the clock definitions
57+ # since at that stage the submodules are not stiched together yet
58+ if {$ADI_USE_OOC_SYNTHESIS == 1} {
59+ set_property used_in_synthesis false [get_files timing_constr.xdc]
60+ }
5661
5762adi_project_run ad9082_fmca_ebz_vcu118
5863
Original file line number Diff line number Diff line change @@ -75,7 +75,7 @@ ad_ip_parameter sys_200m_rstgen CONFIG.C_EXT_RST_WIDTH 1
7575
7676ad_ip_instance ip:ddr4 axi_ddr_cntrl
7777ad_ip_parameter axi_ddr_cntrl CONFIG.C0_CLOCK_BOARD_INTERFACE default_sysclk_300
78- ad_ip_parameter axi_ddr_cntrl CONFIG.C0_DDR4_BOARD_INTERFACE ddr4_sdram
78+ ad_ip_parameter axi_ddr_cntrl CONFIG.C0_DDR4_BOARD_INTERFACE ddr4_sdram_DR
7979ad_ip_parameter axi_ddr_cntrl CONFIG.RESET_BOARD_INTERFACE reset
8080ad_ip_parameter axi_ddr_cntrl CONFIG.ADDN_UI_CLKOUT2_FREQ_HZ 200
8181
Original file line number Diff line number Diff line change @@ -50,8 +50,6 @@ set_property -dict {INTERNAL_VREF {0.84}} [get_iobanks 44]
5050set_property -dict {INTERNAL_VREF {0.84}} [get_iobanks 45]
5151set_property -dict {INTERNAL_VREF {0.84}} [get_iobanks 46]
5252
53- create_clock -name phy_clk -period 1.60 [get_ports phy_clk_p]
54-
5553# Setting the Configuration Bank Voltage Select
5654set_property CFGBVS GND [current_design]
5755set_property CONFIG_VOLTAGE 1.8 [current_design]
Original file line number Diff line number Diff line change @@ -78,7 +78,7 @@ ad_ip_parameter sys_500m_rstgen CONFIG.C_EXT_RST_WIDTH 1
7878
7979ad_ip_instance ip:ddr4 axi_ddr_cntrl
8080ad_ip_parameter axi_ddr_cntrl CONFIG.C0_CLOCK_BOARD_INTERFACE default_250mhz_clk2
81- ad_ip_parameter axi_ddr_cntrl CONFIG.C0_DDR4_BOARD_INTERFACE ddr4_sdram_c2
81+ ad_ip_parameter axi_ddr_cntrl CONFIG.C0_DDR4_BOARD_INTERFACE ddr4_sdram_c2_083
8282ad_ip_parameter axi_ddr_cntrl CONFIG.RESET_BOARD_INTERFACE reset
8383ad_ip_parameter axi_ddr_cntrl CONFIG.ADDN_UI_CLKOUT2_FREQ_HZ 250
8484ad_ip_parameter axi_ddr_cntrl CONFIG.ADDN_UI_CLKOUT3_FREQ_HZ 500
Original file line number Diff line number Diff line change 11
22# # Define the supported tool version
33if {![info exists REQUIRED_VIVADO_VERSION]} {
4- set REQUIRED_VIVADO_VERSION " 2020.1 "
4+ set REQUIRED_VIVADO_VERSION " 2020.2 "
55}
66
77# # Define the ADI_IGNORE_VERSION_CHECK environment variable to skip version check
You can’t perform that action at this time.
0 commit comments