-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathMakefile
29 lines (18 loc) · 831 Bytes
/
Makefile
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
1k: upload-1k
upload-1k: example-1k.bin
sudo iceprog example-1k.bin && rm example-1k.bin
example-1k.bin: example-1k.txt
icepack example-1k.txt example-1k.bin && rm example-1k.txt
example-1k.txt: example-1k.blif
arachne-pnr -d 1k -p example-1k.pcf -o example-1k.txt example-1k.blif && rm example-1k.blif
example-1k.blif: example-1k.v
yosys -p "read_verilog example-1k.v; synth_ice40 -blif example-1k.blif"
8k: upload-8k
upload-8k: example-8k.bin
sudo iceprog example-8k.bin && rm example-8k.bin
example-8k.bin: example-8k.txt
icepack example-8k.txt example-8k.bin && rm example-8k.txt
example-8k.txt: example-8k.blif
arachne-pnr -d 8k -p example-8k.pcf -o example-8k.txt example-8k.blif && rm example-8k.blif
example-8k.blif: example-8k.v
yosys -p "read_verilog example-8k.v; synth_ice40 -blif example-8k.blif"