From 8ccc5b85c16e0a3c0ea31cf5fcd3cbd27587d09b Mon Sep 17 00:00:00 2001 From: Balestrino Date: Thu, 6 Mar 2014 10:56:25 +0100 Subject: [PATCH] update --- .../PSOC5_SPI_LSM303D.cyprj | 39 + .../PSOC5_SPI_LSM303D.cyprj.SB | 17 + .../PSOC5_SPI_LSM303D_PSoC5lib.uvproj | 30 + .../codegentemp/SPI_Design01.bvf | 276 -- .../codegentemp/SPI_Design01.ctl | 8 - .../codegentemp/SPI_Design01.cycdx | 19 - .../codegentemp/SPI_Design01.cyfit | Bin 147389 -> 0 bytes .../codegentemp/SPI_Design01.dsf | 16 - .../codegentemp/SPI_Design01.pci | 20 - .../codegentemp/SPI_Design01.pco | 37 - .../codegentemp/SPI_Design01.plc_log | 2 - .../codegentemp/SPI_Design01.route | 603 ---- .../codegentemp/SPI_Design01.rpt | 2116 ------------- .../codegentemp/SPI_Design01.rt_log | 28 - .../codegentemp/SPI_Design01.sdc | 15 - .../codegentemp/SPI_Design01.svd | 9 - .../codegentemp/SPI_Design01.tr | 2806 ----------------- .../codegentemp/SPI_Design01.v | 421 --- .../codegentemp/SPI_Design01.vh2 | 649 ---- .../codegentemp/SPI_Design01.wde | 11 - .../codegentemp/SPI_Design01_p.lib | 2337 -------------- .../codegentemp/SPI_Design01_p.pco | 31 - .../codegentemp/SPI_Design01_p.vh2 | 1489 --------- .../codegentemp/SPI_Design01_r.lib | 2337 -------------- .../codegentemp/SPI_Design01_r.vh2 | 1479 --------- .../codegentemp/SPI_Design01_t.lib | 2337 -------------- .../codegentemp/SPI_Design01_t.vh2 | 1479 --------- .../codegentemp/SPI_Design01_timing.html | 2447 -------------- .../codegentemp/SPI_Design01_u.sdc | 3 - .../codegentemp/m_ss_pin.c | 130 - .../codegentemp/m_ss_pin.h | 125 - .../codegentemp/m_ss_pin_aliases.h | 30 - PSOC5_SPI_LSM303D.cydsn/main.c | 202 +- PSOC5_SPI_LSM303D.cywrk.SB | 86 +- 34 files changed, 306 insertions(+), 21328 deletions(-) delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.bvf delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.ctl delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cycdx delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cyfit delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.dsf delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.pci delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.pco delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.plc_log delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.route delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rpt delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rt_log delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.sdc delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.svd delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.tr delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.v delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.vh2 delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.wde delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.lib delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.pco delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.vh2 delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.lib delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.vh2 delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.lib delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.vh2 delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_timing.html delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_u.sdc delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.c delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.h delete mode 100644 PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin_aliases.h diff --git a/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj b/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj index 6842feb..2df8dfa 100644 --- a/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj +++ b/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj @@ -1264,6 +1264,45 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj.SB b/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj.SB index a258cc3..fddf41a 100644 --- a/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj.SB +++ b/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D.cyprj.SB @@ -33,6 +33,8 @@ + + @@ -78,6 +80,8 @@ + + @@ -273,6 +277,17 @@ + + + + + + + + + + + @@ -531,6 +546,7 @@ + @@ -581,6 +597,7 @@ + diff --git a/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D_PSoC5lib.uvproj b/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D_PSoC5lib.uvproj index 72b68ca..44ff8c3 100644 --- a/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D_PSoC5lib.uvproj +++ b/PSOC5_SPI_LSM303D.cydsn/PSOC5_SPI_LSM303D_PSoC5lib.uvproj @@ -707,6 +707,21 @@ 5 .\Generated_Source\PSoC5\Pin_6.h + + Pin_7_aliases.h + 5 + .\Generated_Source\PSoC5\Pin_7_aliases.h + + + Pin_7.c + 1 + .\Generated_Source\PSoC5\Pin_7.c + + + Pin_7.h + 5 + .\Generated_Source\PSoC5\Pin_7.h + @@ -1416,6 +1431,21 @@ 5 .\Generated_Source\PSoC5\Pin_6.h + + Pin_7_aliases.h + 5 + .\Generated_Source\PSoC5\Pin_7_aliases.h + + + Pin_7.c + 1 + .\Generated_Source\PSoC5\Pin_7.c + + + Pin_7.h + 5 + .\Generated_Source\PSoC5\Pin_7.h + diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.bvf b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.bvf deleted file mode 100644 index fa9f4d8..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.bvf +++ /dev/null @@ -1,276 +0,0 @@ - ----------------------------------------------------------------------- - -Verifying bitstream. - ------------------------------------------------------------------------ - - ----------Mapping jacks.--------- - - ----------Processing bitstream.--------- - -Utilized "udb_hv_a@[UDB Pair=(0,4)]" -Utilized "udb_hc@[UDB Pair=(0,4)]" -Utilized "udb_hv_b@[UDB Pair=(0,5)]" -Utilized "udb_hc@[UDB Pair=(0,5)]" -Utilized "dsi_hv_b@[DSI=(0,4)][side=top]" -Utilized "dsi_hc@[DSI=(0,5)][side=top]" -Utilized "dsi_hv_a@[DSI=(0,5)][side=top]" - ----------Propagating signals.--------- - -Found signal "\SPIM:BSPIM:load_rx_data\" on jack "pld0:out0[UDB=(0,4)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "statctrl:in3[UDB=(1,4)]" - - - -Found signal "\SPIM:BSPIM:rx_status_6\" on jack "pld0:out1[UDB=(0,4)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "statctrl:inout2[UDB=(0,4)]" - - - -Found signal "\SPIM:BSPIM:load_rx_data\" on jack "pld0:out2[UDB=(0,4)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "dp:in1[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:cnt_enable\" on jack "pld0:out3[UDB=(0,4)]". -Number of connected bvjacks: 2. - - 1. Connected jack name: "pld0:in7[UDB=(0,4)]" - - 2. Connected jack name: "statctrl:inout3[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:load_cond\" on jack "pld1:out1[UDB=(0,4)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "pld1:in1[UDB=(0,4)]" - - - -Found signal "Net_30" on jack "pld1:out2[UDB=(0,4)]". -Number of connected bvjacks: 2. - - 1. Connected jack name: "io_ijack_5[IOP=(0)]" - - 2. Connected jack name: "pld1:in2[UDB=(0,4)]" - - - -Found signal "\SPIM:BSPIM:tx_status_0\" on jack "pld0:out0[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "statctrl:in0[UDB=(1,4)]" - - - -Found signal "\SPIM:BSPIM:state_2\" on jack "pld0:out1[UDB=(0,5)]". -Number of connected bvjacks: 5. - - 1. Connected jack name: "pld0:in1[UDB=(0,4)]" - - 2. Connected jack name: "pld1:in9[UDB=(0,4)]" - - 3. Connected jack name: "pld0:in1[UDB=(0,5)]" - - 4. Connected jack name: "pld1:in9[UDB=(0,5)]" - - 5. Connected jack name: "dp:in2[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:state_0\" on jack "pld0:out2[UDB=(0,5)]". -Number of connected bvjacks: 5. - - 1. Connected jack name: "pld1:in10[UDB=(0,4)]" - - 2. Connected jack name: "pld1:in10[UDB=(0,5)]" - - 3. Connected jack name: "dp:in5[UDB=(0,5)]" - - 4. Connected jack name: "pld0:in6[UDB=(0,4)]" - - 5. Connected jack name: "pld0:in6[UDB=(0,5)]" - - - -Found signal "Net_31" on jack "pld0:out3[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "io_ijack_6[IOP=(0)]" - - - -Found signal "\SPIM:BSPIM:state_1\" on jack "pld1:out0[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "dp:in3[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:state_1\" on jack "pld1:out1[UDB=(0,5)]". -Number of connected bvjacks: 4. - - 1. Connected jack name: "pld0:in5[UDB=(0,4)]" - - 2. Connected jack name: "pld1:in5[UDB=(0,4)]" - - 3. Connected jack name: "pld0:in5[UDB=(0,5)]" - - 4. Connected jack name: "pld1:in5[UDB=(0,5)]" - - - -Found signal "Net_107" on jack "pld1:out2[UDB=(0,5)]". -Number of connected bvjacks: 2. - - 1. Connected jack name: "io_ijack_7[IOP=(0)]" - - 2. Connected jack name: "pld1:in6[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:tx_status_4\" on jack "pld1:out3[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "statctrl:inout0[UDB=(1,4)]" - - - -Found signal "\SPIM:BSPIM:tx_status_2\" on jack "dp:out0[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "statctrl:in2[UDB=(1,4)]" - - - -Found signal "\SPIM:BSPIM:mosi_from_dp\" on jack "dp:out1[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "pld1:in8[UDB=(0,4)]" - - - -Found signal "\SPIM:BSPIM:tx_status_1\" on jack "dp:out2[UDB=(0,5)]". -Number of connected bvjacks: 3. - - 1. Connected jack name: "statctrl:in1[UDB=(1,4)]" - - 2. Connected jack name: "pld1:in11[UDB=(0,5)]" - - 3. Connected jack name: "pld0:in4[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:rx_status_5\" on jack "dp:out3[UDB=(0,5)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "statctrl:inout1[UDB=(0,4)]" - - - -Found signal "\SPIM:BSPIM:rx_status_4\" on jack "dp:out5[UDB=(0,5)]". -Number of connected bvjacks: 2. - - 1. Connected jack name: "pld0:in11[UDB=(0,4)]" - - 2. Connected jack name: "statctrl:inout0[UDB=(0,4)]" - - - -Found signal "\SPIM:BSPIM:count_0\" on jack "statctrl:out0[UDB=(0,5)]". -Number of connected bvjacks: 4. - - 1. Connected jack name: "pld0:in0[UDB=(0,4)]" - - 2. Connected jack name: "pld0:in0[UDB=(0,5)]" - - 3. Connected jack name: "pld1:in4[UDB=(0,4)]" - - 4. Connected jack name: "pld1:in4[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:count_1\" on jack "statctrl:out1[UDB=(0,5)]". -Number of connected bvjacks: 4. - - 1. Connected jack name: "pld0:in10[UDB=(0,4)]" - - 2. Connected jack name: "pld1:in11[UDB=(0,4)]" - - 3. Connected jack name: "pld0:in10[UDB=(0,5)]" - - 4. Connected jack name: "pld1:in2[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:count_2\" on jack "statctrl:out2[UDB=(0,5)]". -Number of connected bvjacks: 4. - - 1. Connected jack name: "pld0:in9[UDB=(0,4)]" - - 2. Connected jack name: "pld1:in6[UDB=(0,4)]" - - 3. Connected jack name: "pld0:in9[UDB=(0,5)]" - - 4. Connected jack name: "pld1:in1[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:count_3\" on jack "statctrl:out3[UDB=(0,5)]". -Number of connected bvjacks: 4. - - 1. Connected jack name: "pld0:in3[UDB=(0,4)]" - - 2. Connected jack name: "pld0:in3[UDB=(0,5)]" - - 3. Connected jack name: "pld1:in7[UDB=(0,4)]" - - 4. Connected jack name: "pld1:in7[UDB=(0,5)]" - - - -Found signal "\SPIM:BSPIM:count_4\" on jack "statctrl:out4[UDB=(0,5)]". -Number of connected bvjacks: 4. - - 1. Connected jack name: "pld1:in3[UDB=(0,4)]" - - 2. Connected jack name: "pld1:in3[UDB=(0,5)]" - - 3. Connected jack name: "pld0:in8[UDB=(0,4)]" - - 4. Connected jack name: "pld0:in8[UDB=(0,5)]" - - - -Found signal "Net_20" on jack "io_ojack_0[IOP=(0)]". -Number of connected bvjacks: 1. - - 1. Connected jack name: "dp:in4[UDB=(0,5)]" - - - - ----------Verifying signals (routing).--------- - - ----------Verifying configuration.--------- - - - ----------------------------------------------------------------------- - -Bitstream verification passed. - ------------------------------------------------------------------------ - diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.ctl b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.ctl deleted file mode 100644 index b84c4ec..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.ctl +++ /dev/null @@ -1,8 +0,0 @@ --- ====================================================================== --- SPI_Design01.ctl generated from SPI_Design01 --- 01/16/2013 at 14:35 --- This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! --- ====================================================================== - --- Directives Editor --- Analog Device Editor diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cycdx b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cycdx deleted file mode 100644 index a6cdfdf..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cycdx +++ /dev/null @@ -1,19 +0,0 @@ - - - - - - - - - - - - - - - - - - - \ No newline at end of file diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cyfit b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.cyfit deleted file mode 100644 index 59bb51dc8af426610c32a6455e1d4dfa8f025786..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 147389 zcmV)1K+V5UO9KQH000080CsdRLK=OLXaP$A02_J%00RI307O?(?VSsd-B*3z?>ZK7 zFiujS5C{zy@84d5k=TcJwM($$eM$;?Y$VwjVj{FJSy(St@8JFfEO_OIWj=Z>qSXL(xbx1hs0ZH`fSBjpvA(eZQ(+P(?d-Tz%7*vRpX?N1$n+v-}SC8EC4Z z!+Prmj$X zi=iy5z(v9O3Bht+pKbaVg&r4``IX8Qk8n!!*v$y5bTB1Unis%MtDSS|(y~zReS-b> z>c5-XrZgNdV6pL}t7%c6NtrBQbVl`_DsbayGM?jV>w?nW+Gwf|mj#AU3jiejVWll= zyw<<_)wA6K-EIMzcm79RzDYspjK%@17900#EQ|VlN#9N?otkF#eNLYl?>qJD<;HRK z?QwlN=kinjOyj-EyRjob;NX~!JS9*3_g~jw;DY$_!{4sssEbJ<&bWweRN5heaT;HMAc}Jzt z-;zF!>U~hi1(lBJ*C9OzH13m1+pFIO3M!2W@5}}dW;KK<2Gp;CjH*Lp>aYnO21BP2 zOM4u*WI!i{;S6X{-Dj9g%&2?9g!Truvs2@MgCZo)=mlFq%EZKdSk;|XYR`*z-StB6 z3c{q(K&E*CljYy6Z(062_hjC)ypVbD%5EmT%AmZU_5!UG(_XZ^N!1o0SyG?p)H^WG z1Pb%ErvS;E-c7V1P{st3MWrk$?~nt@fJ&cK`I7}mOwULMc1JWY8|15$QsP6F^EJy~ z?Vcu~^ie5)!#z{3ecOg}?PKC>Xf%tOsNIcYjt8C=k2~eK;931PFTS_zIAMDp3xBm2 z{OMY`D~tizjocn~WHctAqd3`AY&_Qm(Ndl}Ehr!nE;~qU9f|W^VaID7cA)GT?Lb}n zi1sApe!t$jAsv&wF~M3&H_|vjr=X@WO%Gfieu?_AOO!IN3G;gINl_6JV97C+UCKG4 zNgh^iN-a7DgfuX{rgx>4P3*Xenr(DuY$D5hl~NAfzIKF8mS?Vxd)%|45<+V*G-N!| z-n5M@f1}dxdO@S~8Q^%!;&Y}swyIXI+)yIDPed8FhpM$rwC$9{fRGuiLJ#yZ z_%vK#vyDP8!62UI^Y?zSBo|@mUrPt>Ml@Ho+HDN&)=9AC`9D?{IxY4U_Lx~(C_va@ zC`3pCv$!xj$qUcNz{JQ}(EFfMle%QLLb%2^6|dfvl)=ADzr-4qQ1oT%Vxnlz7)(c` zHRF)nM%kMmWlP4D<)gwA;RZ%Ime`2!aaf-)fpKADM4PJ{&1Fz&_+bsJ=ap|*zYZ&X zRPT%Wj+x!H22&~wUh?D6M($ry9i?{ut?HWhM_{tzuupXJR^=!q2QvuFP~YoFV~?H@ z9Qc~$!|vH70UCMru28o?_y)as+o39%%*~i~DfS>>6WJi5F0~po%B5_05PDv`=bq%@)1$)-HNiH>-jT!gpton1Qs1L5HEQ3-< zMx+vy@T1M)^|YDg54#=|6YDDAba81Yveo|OLqvMXmo5XV9{h;`c&8tu^=&K zs=>uJeXdjjYhv(#VNw5(s=2Ok*aITuG;*9=sE!~y{$f}Y$|Ho&8uyI@QI`LdM$s}X zylp5h%;TWmcj*%f2YeRCHL47F%>dw2gBlL_3}v&h2?t|nH+bgvh~w5!Ew&{X4aWh; zS>%F3ZP}I$DdvrYTA4I>Gm`|@A%FO*LhKmIY~eF6f8V4Z1ORj1Bvn zMLiZH*ocA8)znH<(m9O{*xXRnG|SnHvMvAz9Wl!vama0&XO_QbBdR=Esd9cJs$}KL zPhys{RDR6^zgVgAiH)f8gOw^bK%>m^AF5Qj0USTef5cVkp(*_ol0Y3q(3;(Z5`x`i z$`4S(`@^SQ|s)_8_`#1L>Cx zu+4X-m7A2n%0Nn>!wxGq-Y-g;a?`&pCA>pqn#mPI$F>jFw@cUs&0qg|Zz=UOiEy3m{WCQm9ka8j=usQJVuvN6*{bOgWOP}2U zeXwvr<9XX#wUihg20NxWdpz-^p#owP;pspv#E!MmnWqE$&%AWlg?Jaue!Nkm%ksaF zzY+s5(sx-Hu>%I2nP9nSpLUm=*1!&FxSX7@jgDP(nuQl$Uc?p9G#5!1SI|IseXp)$)i z2xV;Uw8E&R7+>`5ZPGu`CjA%Mr2nrnT~o};8L-96mQKR+X+~Se3>hP&X0(%<%JZ7g z$BXW-iOH)kP&_NJEW+Wz!L)h?TV-UIDNGkv9b4ATszs?sIVaUN z5ATtZPTG=kk0~d%uq{=45Mcirt1}xJM$RG%<^d-c%+1FhR~xvX`BruBm@x2w*vg4$ z(43Ds6MYXrKvPjc#swG|8IC7FO>c0?vG`%$QR|J3gK=?!3{NTSn#p4f7$4Mw8;^gM z4PfEqH3vM43yc-9gb=go_O48R#jL?;Su?Iud6j{c0cfndcaH{PQk3p|A1`dT>TXa}F5+p;`dXGYa>mTWPUM0|nfobf30 zzN~DkY=NB;9I?8j3XpJ@)QxJnEdRce0}Hf_bJ1nt3>HR2Sv_qYcN`_^2t#1E$+D_{ zQtv0!gzeuh%TeWomO2hdrX1Jva2N(ZaZr*oX;zDs%5qb@3OE4-V0>P@%#SzAPsjac zpwxg|X5;FDda~p`eV`bD_i7e())ja{13#?+_)eJrw2*0y{T@a} z^=a-D@R#EO-0ccnRu5?4Dc6t3^l465fjqJ%eb3$#7v)GL=yO&GVaiLP-2mPxL42QH z$A$X`V?lu|Vg-9jq%bW~m=uZZ(x(aG+oU5R7&t6qT&Y9K$H9Uz{W_*Rs7IbMJ=%imXK%km#Chn?l~QTk$(ekw{2D3axm zN9h5(viw|>9_Rl+nJ%(mlQw%^yry!g{Lve`U`dug6{R0`pDq3Sqx3*;S^fi2dYIEJ z|4@|vgZdl^c$+sg0oIF#HCM2_K{rR!Zr;!#5NPcA8h5kGe6gRA?^s7{8sdq!6~A@?tjeLR2tj6LE#tO@hHO zeWwDiXfMHHcCf*0Vp=4R?qS9qi~wz7RBQnCo&8I&4p;+9{Vu0xdOgiN=|cSc3{_5KMro5_3IJV3U~s zfaYhfW8!Q(LVFz0JfWX3o9qr`4lyw?p)u81$wNyS(;VaHU@zK40sM|k|1N=_SH$$+ zs;^iPP`~Y@B4Eoov41#LNK2V~jNTO@M!_NA#woo_Vl?Gwu#h##4^KmZur<}Kh46~C z7TP?juOfF$@V^^zp-n;&)J@yNfZYyaK+2d8h9Y=XP+76qjo|r>5+DdDf*I14y$~Fckn|yS=9swrw9c-d5WXK2S+^pE_WsDJ=F{VO4?1m@ zcf;)`)TXazb$cbte=?fic;16STQyZa66KE>eQa;V`e5AuRZJB;UB<*=1h57(TZ}cZ zK@;y7rpkzD4%RT~W*ToC-kK3%3(TbpeXwTUVGZ6`x8vo(qDHzi<1rq1?jQ5w3Twcm z#+iXGZwL^7pM_~Ov=$Mp%v0={9=MwEik?^iyA z!=yf8%z`WUk-M08=7d)w64H5RzUMS;-Oal=`rS3i<0~<@-P7u-N12!=T2Karndpcz zKO0R&%qn6`+;~=@QDP@Tnx4OO!!NFfYeR>-92Z*E>}y@p3*h!%WwXPBe6FU)@QtS} zz*lggALGsw6%791Ln;7=uiOJJFlPOT%Mw=s%p%{i2mL&FD`(iPS*TGI+Squt8k!U%Xwb;C? zVhWSM%QJ>7|F{Dp1qCeJk^9^%O;l#-LYXPc|2%@aaZ3tU)9-7O|IRk)e^BCiwVqG5 zN&iTjbfd!7{scP8^3SRdVbP@+^rW!DmN7m1>Zo$VA$WN(surf?psNFYnEDeEhS`G1SR%P@@&3nDOA&$93YA0-|NOnd~s$DA6^qDcm{L(7Pkn7Y-g zwtuVg6M1T(t0~v2SpkcRzi8_modH&vFVxLr>V*$3xaOiE%2el~gi9!ooQ8Ajp1TFg z>4+;J2Cp!`KM_q+EX;l?N{<;t%*SXuPp=;SbxSKNqFP@OfpM`cqW&6Bo;zf9~q6mpLABvpn#>j#JGsXI^2d zpNzU34?UjJST&BPG)|AFG#+|aQyLAiUQAY3UE=z?nbJ7_W=?60GVzplGWEig2GO;~ zDLvlBl*ZOVJf(5^wM^+pocuGaji-5&0(x7#8oYHjtF7{?h_(s$cPu*yg9#36N z)iE{3*cmg}*u@r4U7Q|kgmL}dOkJFRGpX?hBb1D(F@{5|)Z=gq)EE@Qj#JkvOV{tX z&hpnpQyNe8>!S4SsWvXNx-2a;X*~M7<(s9%l}`*5@HmHeXd`M=H-v0{Qo^lkLT;pqjXToqu5`x zN&nwbI`st9`(*h`N7TdG;1y8sQ)R&{{}=AFfh0zyPefj7gEVy!ZI!<@$W0S6elhDUL>%vPXm9TQ^Mjn)PH;pDUEf?0H_A0S+2$ylS zE9Qv{SpC5I+LC-y@HFpwVU|OcGaFZBn2bxRrD@-yV($YLNE5FPZyP`0=%qj zkTfY%(>0nutOYwZ6+fia?{QZLX(v?E5!YD*f(pM(wN1Ij^0K~rlO8f;)>HTCpRM8h zRL_)#$9bZ{P0xm}V{)BNHJXi`&NItqbNtRtw@(_!Zd0CG4vs&eJsWQhy+@Ua0sF8j z;lHe#9uB(@`ehI6%ZwLgvi!d|B!e?+gdlfo1I&~^&(U=Oztq`v0q?u-x&WKMY_*^= z2KG$GW9T%+EO}3B(!s}LZu&XZWt9fLD!{xoskCmMr#0U!@l$1OCCq%>v${%-s_^${ zLl*BIX%g3dN?xA(Kuqi~+pnT0^a{Q47?M=<_M|`cbQ;LZXqC+(hfAbOs=Pa?5=4gu zbkUo&%$prSsjoE1dCglh=@0w#CX@d7xvCUQ=c*GHS*5<33f`pr;kZLG4*Rr&Nu?K~ zN%vtXY}7d_7BlHs-s)3csc>gfVI2yl$VUZU^a!MEO^9WLr`wJ)Je3k!$%}+rVES49 zxhVbjO5T*^|2|6pe3br$GF^Q;==uh4<+cM*uR9*wbSugPE92 zZPLFMr3duN@~^i^e=$mr`-w#LWE0nOdzb2i6z&_); zi~Z_upXsLdDQUsm7ySX#c2^v(c5mBOyT7LOmY4P7GD^9};@%Yqsg=CgFI6S4Wk-|+ zo@Y5!X_*x}9n2I;xi9n{EBwY+H*(zSM7mZM_y_eFCCK zc=?Lr)w^={A7$<;Y7+(>YP{|Lrq_z@xJtl|Sc*tJ+P^Lg2Lm}O(C&7dZH#{@a`i}K z|9+>Aj5&P68*6vg^j!g&J8Sl)df?ORd9kl3kmcX$>M&I#H9a5>t3S)1aqT`;)s6UC zM~$m@M#Q1s(ts#Ue{OSn>@`aJ!eOU`!k$%9Jw4!0Kd;|*%d)yFksxetCWy_s z!esEc2z<`nafL7c@#(7u{om)(F4!~KMD7(U% zV{$}3Bs3Kvr-*`2958NgG8_G}z?&ik9uLp8pEdA*uyk(9%=KIGa@gyMAbt(T&yd$BFSyBtKaujVCZGGpPCFiTzuRm#Aadl! zYfg+4GK75Bdha#yJP61AE}&A>zOJ@S&W- zgpPa!7MKgP1GT|SEXw>~K}V|e-hzTI6fAH;kUy{4Fa~+S&4Zo4@{R-*vIAtqTQR=b z$^*0fgCc$jStMb~wK&k1<$dn?(e-gH#2V|kF<)Lyh*_Ccxz^tgT#E=Q#FgV*E3mUT zdI%@P7(C6yn7@aGD0G~5rW}z5*)2+3KF`8U=-cp{HGpBuRV?GP{A21&$;9kyM|{ol zzo!xkoaLS-IN+68-i=2y+fWu+=h2LzzgtQQ0c1Fcc*>FWS&=@0WtK&nrv<-uECF_4 zuZ>^^M1XH#vp?b!(6ijy}Vcb_}(^p#7mWB-sf;C=-&~`tV6-7BN8-kbwqNs zsEYibs3JdKTOEDj8o3r7qLq&sy)?fQ={Tlt_rK}r(92dvZ_Atb&Zr_ zbkMtEb+f@L_?l>`&+jo1kxb}&H@>Fog3h#}S7JrI(`msA-hXD-gQ0$6VpwcfBEb_V z3&qrKK*T}9O&MnJaf2Q~mHMSPW43UQsW&{Tz9SgGj;ri@jS1cKwhj=_^6yd+4V993 zT`XKB#vfrf7t0xpvf$`jaz3(_EbPiK-wlDP31V_m8o*&aiTL5ML3P2A$VfJ83`HZ$ z@dn=c6=h%(2N}+*DQ+w$I5&N&sjFFJy{HazFU7K&;-Y|YWhb;G!4smIy`h_CXLSP8 zb1BY@Gna1ofY_xCEcO}Mzy|%lsWby@Xgd=j847rAh20MRJ1n(<$F#Dt18bl8RA0x`vhtACp#WA?P-s>iX|E@!h`6X>zMwy=LF+nu#x=j21m zQTD|SZP+IDW7=jp6>$K(_B*cHwl!+Va?amm`7c!k7GLX}J$JpZmR)MUzo9g6Yx#6; zUYcn2zkn50Hwy^X>SlSZL$w&~{#6z2_*&;?p#f8`I`7_kP<5}J>E1ftl$#Z)wxZ_) zWKBIEzrHSpwvAuaRkh7_p(DtL9qWlrLy1eJh0~|0QD=Drvr_)gu50>lU1!A2%5Eb2 z;&+_H8LPn#FLCPp18-FRyIx2!#w3(If7{jjn^p0~*Lqa+*1i?~b(il~Twzj#dSK&2 zQuOSYA4h07f@s=Z`SUraL#B#o?~2umzMADcIa6bP1v~s><~WCc?8Klde81XjuaLe? zePL&4)2Xn8HRfV3!d-Jrt9?20+inR|THNBH&lkP^HN9KCy(^}Q^{xbYb{qI0Ru(Zok0W%&7c4Lj!#DJuh3-oNn%_&2<^NSh zthmO&^kBnY)ZqMZ>0y>%Q8tfv%7}L(1|{cW$K^xk^wa^}TgE9}DpOW`8~yTC*=0KY zs%u4c>4)8}j`jfCFW_(ms0GyLQVoIVIh8H(jHt@b z*0B;Hus1zI7r6F zzE{YdZ3{6Hyi46ZAzXP_r^s7R2-kG{J!|avth%+V`6Gg|ZG1T+=Gato7D2Z<7tNhzX}bQno$U$~EFB!=3k`)Rd^Gu+m|jGYkY;i{7Z;xg}6V@4UK@D=i4)(xZ% zI5Pqc7}iu}EU~ba%QRDCY<`#LY3GoQe-W78Sb!_Y05RBzW-9tIG*geL=ykBtrrJQe zWs+>~J8XS{387ZrLNTBELbXpX9-E^+l z;yF|}yH|#YFj*+Qro^l^eKu*J7RUKpbT?CsP>ps8${J4Ab~x32xGHg9F)u+KQh9_~ zOp54^-02Bw$8mz{`emIcyMmZcA?s}cJzsBX23(&;U7w0& zidfHPV`_<9cPGoicyQIRq_k~170P|ZCOc%~iG&YD=Lpok6MzUMQpMpLAz-Nr&x z0u{vwo0l%gjY7uwPAD46kiNqp>_AZudmGK9fX`rEE~t;dzVC`24R?lx!2G67rtS;c zNDg#7qojI&`bIAchi=s#=Qt#Q2n_qxANC@!RhE4Uqi#Dir&Uh6#a}M<;kG_@CHNcf z*@QkO;MvAnZhvDt^}L3vI`!PEr>X{cECbJ%@TptXeYqrKJwQB@M>E+iVu_E=-kPhr z^>Da;h~ZrhTW7;&-3n>xTNF+`Eu6YhP%5Z}TMyZ_W38H=AFeeCi zTvhRAQQVjiP9nck=75S&(FJ8kh1U%sXs9X!-=s-Aj?0oR=0SwhaS+dZvC8JR;vkIX zoN|o{hze$o$!Kp4VuuqD+)>o7ZO{K-ULAZ3&m%>{Q|mLD|6^yB)n*)ts&PMA!~C5vtW)1v`Q9R6dt9Mf3RJ9nSY>l+dV z0F0Y{~nj+ zsC(+6?02{)DypZJc438HzoFvo*4nVK+2p+y#a-*xj|ewg5f8J$qg?L_$lbap1T&?& z74&_=+NfH-*6j(2vb45rYINt#A|G29vfnz3`P&G+6}O#059zj(7kk=i7oDt*ZA@L; z8QoxlZbjBR?D!8chv~j369i)( z=hq&Uz@tkv0nSK-NG2T32?Os-2F~hr{lKeI$AY|(^O#x+-vU3ysOGFaL-OlWVmf&9 zIF`XlBaXq_$s!`~j3|}CE$I^j8FRzn6Bd&5f7H06Iz(7j`uq2N?>GW+rTy3;-7yZe=J4{}nTXiwsJG z;yQ;zdXoka3sp13JMy5ycLcECA>SEm4xDd-R%kySh}TFSaJOG`Ylp1@Gssge$QC5+>Mc(xUq1{dIzPldmpK7m#DJZ@-X1-s#AF} zF}w>GU#Fp4+zFM?pj|(}C(BHw7G_~ty}bSQud6EmD=g42S60qPB26i7$0kc(EuI0A zZLb<`*l~@>4s`+n#SnCLlYES!wA2*Xw#zETySG7Fp&KOS^kj2 z>2fAm`(-p29kh|zkGmz@Xuuw~;w&|A@t6(R@I+tI3hX(pq57-PBW%65Z1l3S%xf>K z?J7N_f)r=!tt(NXokbx4LWX$vV#+VenTTkYQFc@Ifa<4iunq&to%8jG{*)WqIrSID z>w%Q&taq!Jtqo-P6Y7F+;RlpduT5P)KJ29id!Z&`pV}v^Pe>m0K^)k)sTcGKImkI% zW5_UK{Qq(P*nC+D>|gdR`=(<7A41`hbZ zS$sAHg;h-{V0)ETm9jAENXn*s+q~cE5NzWe6`9&K=Pqza+ZvJ@*g<|ykX_Us1w&x) za`k!*5bd1sKcRLu8&m2e_I<7wP(oOA6Cgnvv~{K)RhJ-RyYvmoY+5^NtZD76oY+C_ zF_jqB@969U$~)pDyCIEs-KoyLE5O+y&XhP1S9G!G`d}*(WXe}Xf}gG;0bjHHXWWxY zy~o7uQiBb^+mDFRd1TYDJb}Z@IhB9X?fdWq-n&AhJ<4aBEI3I3=O^czRLwt9GksSO z@ooT|)Oe>}N5t6T`!Jla~s>;*zWR>{wBY4aU8FW>cSjN|FZmNm1@tv zD~K0Y%RaXemrZ>FQ}Wlxj{DZen$KrBS2<=mcPP3#4Mc3z>=7w7UE&2bmlEGS?iaMy zEy2||MsYEw{ZFZ91%k3I2qhEF2I7IB3z{)oxWnE;=6=@wTH>qT7H%@ z@8z@~R+eN+aYh)cO@0Sp^I3tHFXkHJM<+N0oG=u)suwofg_B zu&Qrx#Vr3Vji;D>TrI5JvnR|}?;&^#Q;KzoRcU5F)}^iEkGk}El`+o-fi{!bzgz8? z5IH9sfTLW;Bv4LC6NtC71jzy9I?elM)MJ}>WpsZ96YyW%yOu!9c@ICx@9E}!)IGzz z$2mL`V2TTJ*Sq6b_SK%A^WRxB`5=5U`9G|r8#Vcl6q>;aP4J)u?S35?i%{UR0R@PK zY8yCEPb^Xl4h3^!%{jsc1=uvmmMGi#!iK_8z0iu;Y#30W&~D$N!Gi)Y0K)cQ@SKq( z$0|T5#m4MqL9Vo>W6lu@;!JE`tapV{oiOP4+GEh3d%ybl8zxkq31n*1KV50%aOZW` zfI>e-=%DQk!StK_5J#zP<%)^xTJAm<5=L}+!@W7g<9u`C5n8(KwuH0 z4ingAiz16J*J2-g8wl}%Umih%=74&OdJNOSVahgC&p_%zVcGp&6{YIgO@RxL5Ag8P z)st34PG1P*#Igfi7403>3UhE!|EBl*{ZP0|&5_C;U2}-z?8dAl#qTC8gL*YJ;8t=n zBJxvGTJ(1)bC&-*hw?^OI>!)w)7*^~^~GMPamT66HOz6Fd080dB(9=DWD}Za75R`l?i^{JF`!VyS>nC* zr?r)m>S|iTEn(MPFQ`kW+P2iY0={+PC1{7W(&+HW7Z--U{K0XRCkLdR{^?|klQH&O zr!?xj!VJ5^FSCN$rL+-!cWjJ@=QE$I@R<+D@QL}%v&wn>`3x-_aQ4^{@e|^}aR8cW zVGC-(QCMTJ`04Nu{3&yKN5Y_x!f#9w0dJ62JmEa-(?ngJbNPcpTIDD>U3OdrZ4+UA zBb`qMlZc-@FAOWeVXH^z-djZsxh}GC14KIeCG+AQyxtXNs1pr- zIi|s%|HSLhPSj|GN&@Ze@4$opQoLUeEcv7^Ffe@kG%Zs0pKx}m{cgBlpxpE|yV!#5p|n1TPZU6Wftg?3lRTJ+hEH zsDhVnAd5aEw_FDnjYpI15_kzP09c}DvT=i(KNG7FHjV=jv>+cQ#9o!e<-`u~aK5OH zMYF!MrC2mDiKygjflACW;?M}YNMOeSOV^8A^@(PCW+k`UMSeAcfDKsv^=Ip#s8JHOJq0_Zwn|Nlv_|JSN6wO@1ki?APj zw7(d)@rjyebT#Y`)^vjXeOKsek1xnW7paYqJ7wA4QiwA zffi=IOTA3c^jRfsjxN<|n|KvILe$&DW(r-xXqNSFOg0deB`c5>M8%6|;bGaA0yx=i zgy{yg0!loCY^U;w3V!si0D>6ZdJ-q^4s{^Qe;M4?-{R+gd)#~ZVSwZE`Vxe||Mm)f z5binV=n_5dz52mIZ8;{t@ByKVkB<kWnqEA_g&GW(Zgbk zbspP^z)Tl3kW`mo$u2pN`V&gp9Q5-G-1{_z9BF<;-NZD*hKsK$4X3aow0xC@U7#hV zFpFMTfox&H1&wPF(E)9m6B4JSKURcjVTFP`&@6kyLeydbfU57-8(PL=(lUTy+FiWl zXc9oWI7yd+*G@+mKYpck_`}M*IpA%b{aQf2HUJW;1w zUiOaF^{GHX+ik^GHjGUKH=Eg(1Ti27s@bMDOiXwrN-=zq{J?Um1;vl6EX4#$Abn8fHwWWVAhAqS+U1}Og28-w$YO>)peG!sV9*itLi6#+Rj2f6wN1YxR{Y_+@fK0Q1?^sUEO^zEO4=OEu`bo}r`>@+F*T;Fp`sb1 zhw{vqfw~1Uv4_#06*bEN#S1QF{3>kDHpcuqhYb-I7djwFnOz{s4sp+VZUgqcD+a5k z``jIQ+N^<@#jMfZcz1KA{wYQZQgNwe)&})kBEX+k(&o&X?^Nx)eP@_AbLgW~qc_?d zu_xUx5y2lZa1h}*>=5UWJ$`HiH6B^?OHcX*&0?p}g=y{1MVXtD-gZKCW0wRGxx;@R zmi{%X;>_Ei1q*&mR@`)3_8mnaEK0DP+1T; zlszn5JS0GN3BaT-objFO>d=?o6~p1CD!KQI>Y3j0i}AYa1%2PI4>)iqMAcJz@_Cou z_qr5>2OG%|8RL3R2^ly;KCIDTqDLSpRK~+d~Fc}2* zxQfi^`z}4F^m#M9##Cjl@hKIba+L$W0p+Bpdwq4b-)BZ`0wv%ys?TG}Ms;}a40aH;sXvoy zd|FlDP&UPfXVE(>LbBQs8YX}?864Fy8EBvEgJTaC6J=)~=oJ%$=V&tY4y3@|2VygN zQh>@q3K)uQen;P*P&)bsD9r{--|5|iDrb7e z^_c3k$PTpEMqLJaw&o${cZ);hndai{x%6-D9=6Vz&Gs{K|q z6V3&hewi9wL&}qg#AlVXIU+IbT&-w)RKqIJAI%nh6Cz}x2DIWDWJnUN80!?#$a*)l zjtd3r7Muq8@GSAki$)EUs=rNdt=cK;sC6Nij*92jgNKFNaHsr)I&x8U*h>Dx`h?bt zq4qv?nme=WYw==uqwcFuf$X(!#mBpUXK9B@e|hL0M6e_;&+2ivTtP!sN(L;(Qk0q?&h9%{KfP;($MZgP~Q6m|J`C( zyT!hE=YQ0dW%nF=^(>(4{hF;seP-7u`*o>lR^M4A+Btt(u!(nN+O0d2j_jwEp?4Fx;hw%|`ZSQ)c-Y7L7gf?CIy@BpD*Uv1ltPcVIMub)3(6Hu1YbK!&1;;_wM1F{^9{93UJ6lGJ4x$pi_JWq z+fwC5N|mjowQQxntP;8RnnY-N=`=#1HNfy_i)eVJDjN8DeYe_}IkYX!4DAqpqZN|UN_){m)=9?2+iP>(YzQjvHH`;yY7ljZ7X>9HPur6hM zoA3H73tzfRB%N{K=5VpURSR3&O9P9})qkrj4W!_2>Si1t-|5E&Kzr7GQV^rb7X}7sMDc4I!M|R@fy4z?JG(B z1`jyeH|6|CT<`u~Rqf~Ny7`XxTUaX}Ra&qas!KKLRzGOYO&$)VpGqaqmjd zTWoR>$dBUZHoh?%RWUV$xHc z@ArG$=LhxV7hA@%5zSYA(Xv%p=1k=m=?3L5PgH)PM&Hgv62(D@+mPQzczAH58wps7f*7oz-$ITY^_yP-A zZ`L{5ijFhzpc1*E-Lz`<+)WTBl2de=Y(XdLSueK4F~xdUsM`lY(nt4KO+|s=RmKP# z6gMCe6IXj%P!-%(lX_Vw9Zqg>c4}Pf@1zmqik0{oy$H@id{aWn6*7%GAt*x9lqw}w zl!d9HI)a>77&1FUMH->3#`PrrmNmm6>9RVinNk&0OJFR5ND%$Rs-tS+M-f4lkY7Z~ zv!;nD#dv6-44XxKFT#ltDvKaf1QnZ6nnjhNFcE}kVnXRuH?88tm?5Sa@vo*_g;khp z`dr{-2>SDm)c>P+3{gMjUEeIf!I2k(e;Hm&El+NO7lu4RkI zw#W6I0ASWMgC}4ad1pi!9rVL-o!3N9+jGTQLc!rdO_jP zo^U5Ii7qg%!gJCYeaw>EP$fH-@X?(sC3;s3=uMSQgYLpWZ=)kH$(_Z^2j?yj5$-`? zz(Ktm(t-MuN&y0l4p=}EM*fpZg^H&g+CntM_!_3?0;WbqWQFtUN&$fVN(2{)V#w22 z2Uuv~=nUde%j+rvh<>~UOsVPUKoIMqFbKbGo z3&=Rl)VV}tmtDjAwGKWFqutdT+&ynZtccz&%Jyv|jzAwJ3Dz5e*$tOHt&u&c*(k2= zh4hM|kR*Xj1ix%_jZ~X@10Nh5^)Kah>`gCuc5C#pnZg=M8hf55JgTV(eLg9f{Txa& z`3zZb$}`l1A296OXMSn8v%r7_e;6eRbQf|(YA-VFcqK`3x}YVjta<3ac%1I2yuv|S z^83QVMK=L*3;t_J$$ox>&|UC{ITSXSC_g2bMJG)8UhJQSlKDoJ7sfu1#g|}qZjdXG z*+55yYI~N}KCRMC+v9wR3zvJ`13GdM4ZO&8UQH=sDD7Zh79zx1nZI-JAM+?nUAvrX z2#jpRy~(`=g9s)n^B%FoV*H%Y?7@vKnWBmdY20wS-|F$p}o#5g7eqEndp-p8tW{?2#b{N&e@AE_%^zZmN1Fb9NNW|5RbD~Ap;QC0zEM(X4RWQ%soQMeF&5)@k zS0|YFMgmjLy~baO@Cd;KJ)v9X(8zEDv1-pIGP!vQukzZ6l~4h)8M|Le2nTdV7Ws-t zxq1JhpzaW(icu2n7vww}4dX-nQ5eD1_x+&|T$3=5ih_l9P3;LzI`x2!5Xk;R|F{Wq zSEY7{@{3=jLcpLKAhPQ)G%fPw-H%%SqL{Vn-_q&e*Sv1H;Zb%@^6++Io{8@DF>0>iRW^(H z7>7ChFim$LDWXcRt}8ii-9VVI0<&}i@cU`D?VkoMl?2( zdC{utH0l6Dz80@2eK278q!UN*lI-BtL&!59$yex=7bk06lhCIQn z-u?!y+;sLvSROp1A5QF*Oq5L{EhZn@7O(=r1%1|u3rQS==u;9RJEoe*g(i=E?DG_H zbvt1@Fv+j3nx>(S6DS#^A0{eP^yzxfjRr7I=2-z4ivB~QA+Fz>hpH%?0;$X~($a&4 zMdi$ILagpg==BjV9T>sLSy7-v!B9s3B&35Y|ME0Gm?1&Sr_v;qXWthy5=9Ndyq?0` z5W~!WP}*_}LvBl!(Z;M)T>B6r+(gj$EV+d=e#t$xP|i5iTQYn2)TMq2L@lG`|AgP< zo>#%$v6a*4sm#-%L;O^rZ*^J>kUCW3U36ohdriHJ7#5(vcTT|6^lH*vZr)M?0TYnO1#mJ|Gb2&SSCmNQ`c(-Qj_?RML*HU1o zQDpuj%+0jN;WBt&quc9CUTifz_8a*0xO|n7dMv->_a4(P$YHhaEgr(o-U%JQ1fA3A+`Ilm_>TOzjiNiY#+A0-rj}FbLAV z@R(YaQm}kwu>5#O?lBv>N^aG75^R!$xQe{`yV)6C<^PXwx@`tO1-Zqb$G@uHU~wOD z;M^o>$fT?G!o8@s^97skFOv2o(4Hk_(pA8SRcZ075y=&6OM zRK3`$VpMR>Ro1)YpIVwRwX9xM4ZD)ZgF567VtKU@`nF!HTZ^3UwK0LN-M4`#3Y!X_ zK_z9~w6@*zi)IqS$C}SA9+H!{O%DQ&3k;e+fd~)xI(?Tj1Gk%EY{V6k>?P|#&uCaV zSwG>mv4~nKBxQ=Qa#~6WIXr%u+xi~yHAz%a3tdLt9~z!NcOY=X9-sIq6u;69UBODA2{s8s9haw#f&2X9->hcq36{?5YM~Fk_LGl`{AKkmW;*!8?)@m+9Rga_ zGZ#@L=VJ;ql6)%i;Wh0<`wNwJvG9{vbK6H=#>)S+vf)n$0N@%z)l>yvKo4HPBWVLW z6Sp%^ZCuTM`}P~=VUi?5HTq+6i`DfFLe;j<6qk!yN>H415=%dn-0x5eQs4R!>HMD6 z&Fc5{3m8i?sCG3dzoE)68ioaOozAoxPBD)0JIP4`Bv!XEm+r2-3FfFYwEou&bU`tg z%e2$v@#Sp^2vzZaW60{(i4*ZMO5?I6 zH^U!w9cbD;5dZ%9#yJlqvcI1N!*q5!ztd3`JBZh5%As4(YlafU6|%P!zdmrzf$}NO z+bQphMi_R!9koH2p=vZ-)z(mbrD;2><1k)c)$Z_6-Ad`Lw!mKfq#@$M zQwlidEYGhq+r&$?>BvWBgwoR7%OY|~1@Lm8{>0a|=j1MS{hb?NDe-W;8DOdE9f3c- z9+IP+q5NCJ!Gt^CW0SC*nicJbGrI>vn|qy?PSo;7rMaGyUfa0ge!-b6zHnnaHn#}J zMaesj;Dz`ad&btBbEBUv&d?TT@(Edz>(WN5;f<3wQ`y#BM|%o^`gz6{ljQ<7pxz==cP$UmL@voe%&wI&;SB@Z;gvK)#qriw01 zw9ET{+6#P~Haf?pNkg_87udhPLqWc~V;0b$<6}e=CM>rU;2R!rv|C#4Xe6Y$vwo(T zX&=U~i~J3Jbp}&;@~J5oZsZkwA<7gI8hhmJPr6z$?h(lZWzN)mBlC zY!j7+zu%Hopqfn*V@hM#HTJH7bSa+B&mTYH0+DzwD3D*Lv->i=l5zz}+OM(L7%Sst-czHOc)o(^{^UvwRB;z(UebXC5$W&4Lr# z?epYS?KBPPcFADd9^5 zDdLE5#a~_|R;vp#?qL-l!>Y?%P_qPUCYKkyf??=mN5VKz_&|4zR_x3E;q{3MuA++X z0GD4z*S{lQj~-%rtMULtj4bE6SvSZlCmX(Q%b)Eyu+tHcwyGD@tn>A1;a@poU$2|- zX&Fp>=;`^HjI;!M7@OMT*50>&*8J#Md**0-XgDhOB9d--AtQ1pEL7e}6olOX5lynV zUI%#2*dI-$DM368Yq@2CC%g56sTA}gM#=?;bLU4K^mg=^HFYo<*)tqVCd@m2syO#} z%EGq4B@{#n-QXXp>X|gf-7A;o*6tjKHMl9wHcO2VSdgH8a?X->KAIYfGLl8Md&D`3 za1z_GgkU?dksaQ9(Bq6f>eMjcQE@=VP^;dBgr9^w#@igp5O7ce7Hm4%d#!bXfYvvqQE;Cv(`fvsX{YMt*==_cg!0hz4N zu037Y5~vuWL-;*6M9*JJKsL#_-m+Ivzt|rV$79! z{=`+ce_PS|gzt^q^V8YG^2>skIhZ|&hX7qLuRQ*HNHH9{hwJWl0~pyT(EDR8gQ%uv znS}V8qy2*5GVj1rDGG}#CFjC7@st&G1t|wmQ@|$!b#{$8ie3nFy{7aeyT^fDBJKITGry;|0SK>DymSBocmr^@q&mfV7xij;Jt+>s`LjF#0_-q_XA9+5T%Uj`jqN004>N8E0zYq(q z7}}0K_?!a3gm^f{Xzo>Vx7?mrcQ*A+xL#4T`^*ICe1B;Q5=)4DLw}g0g}6*D9dAbU zJG0JYa|%_c!3;i>F8mCbR4frj;{b~ByLRQY7;MEN7T{JbA`W?aA`JEYyhG;VXfd7R zn?Wj2$LJkx_TN{FOPH4qOwy~wC{8!+@zMccYqyc~OWfkzo>Y-|Oltm7$@Q)Hn7*?M zMC$W3ia}9%Iy&)LO%DH_r9DPsRI5i7YNU;`w)jK;-71UUtFj&8dKC-zPD zA+yH9lpO?qU?RS3@qws~=SZf9`Kt}z(F_jr4?#aeD z0i~tCMGmNo;qNM@z@4KPcK~kPtIg`ECz$ydc*XO=*~opHH?QQOM}>=xFw~r6VVaWc zUDrp&=GfOszvi4zAiLNx_NJk;nq8KzI^@x83TVT+Yw=1dH&8e-Yu(3b04oq&?5`~( zKJgEup^Y;o(WSWwIDADm98=u+Hgl^vd#3n-5=Q!ye?Is#lZr1O>%ScW*TN1a0M^Ao zAotz>f+_%Cyqn4OaVyf$U|?}1U|=X;s6ynIl%A4;s)~f3jJ%}6W%_?1ixEXJ31eM| z!9obwZ5Rss1G6k9IuXo#vIROzb8Z?kA4!~MOkR|qt_;um49{Q;D>DR5+oWRD=gv}I;4yR^*Q8f~`S9;x^|E)dC@>fxLoU7^m6ASl@Hlhze$ zwWQt&L<>Z6V0lKHQOaAwyk~H3@e2{7rfBFA*Ep?`)erTwfsGB;{Si+`mtp{_ z4|IBFC$h&@Ftx_CFElMZQK=1Cy^*al7y3&I=k`%c;2IR-{W}fe%qOB0i$@`B%RNj4 zM@qBih!VF+(&A+ZZu*P=DhJ*j;WXcUwT=SjsY@xB@p zh4aj~0N=#Gb#6VUU!2Y;r~3E8%wTJTw7m9K`0RG=@-I;d}az7u;9Yhf0M>(&@gOMouD1S$sPnB zg207UYNS{lCd=Z$ptRD3E`|6I1esXD$3JW2N?u2 z2ItDfNbFiRrj)dlk=)A1azeAy?mNkXDern|GV*iAFXvv~UA~mE?UI>%#5hhjBES4Z zR#N1}2*4tu34hY;7o+v9+KWvZ$9YL^Ntj>*yYa$pxKX4Q$!6s$1FcKW16uH?s*#Ef zbxJp?v`fF&)Tfi#CDc#Uq^SFqi_qr&&ZXoj>+Ya*TKy#T||c-AT9oEk}xK zP!oMh?WGe-zvuscomN*!eM!j^Sj9ecP+~gV7<3U_HKDZzk?ULcjni3o=Y%Hs% z|HXDV(fZx2s^nx3-$MxZ1lf^Rr*Ly~x6=tJRi7H=aB&~?0g4Ol2zh-V6zAj&b7eql zhSXEh<)UctZo8SRUWOZX8lWj$pT%Qx@$c@~#%2Yimjn0GunUs%3@VwPFL}E1T)Pa3 zbHg`%{pGZGot%oq|8B0H%K=O1gCQ?nRbKerNBZFYA5#>=N_D{f;#&?Vyo#2Gr=(jL zy)l=#M9Atodsv_3zC)koe>CV4alNTMRRhhvdnF=q~a|z2G4|1Cm9n49o_`+Iq7oq(76(%S(U?d@fGC~ zbzn6GTfUlAkS|pz#u)e^=j}JGpWAd*@Jmd(Qn%7{C&TyO3(oVSv#+YgOIJD9m>kOM zo7|d~b2>+SMFsl-xHVWB&ZQ(1=j75e^({<)yqm`FqU3gWIz?8DXN|%mo=yhn|NNXQ zuZ;quG(;z_Jdc|H(*t*Ns8r?&XL6jVqx@V0P^h4&>Fc@b)r9hFZ%bm_bR5&)v}uQ; z4dK{K7&Aez;9P^Ju;oYHEFNYOi>Pb)&g_Ho2XMUNP4XvpGlyx0-F2u<coUW|VtMCK_dM<4_Y}d3R$?S|hVE^AE?SHUp%ZdD-G4_AP*#EC%EczlQU+xP* z5@3RXeVxF>tnG}fF4MHEC{)o#td@{<4aL2$MI`ErxzU~XHrhRp42apdaj~>j#Ni?z zf0-`TwlT`0JgC&PxSdyLd;|Z4-15BM3b6X2mwoS? zGbp-*!dPF=S!u~xN?9@A6B+f8{yrm_;>F%yPv`S3RTFGvE-3hr|Ei6Vq0>rMN{t5+EC5lS}Q# zKuC)Q@HEqOur_>8P;E2$P|*$zkNQh(2txXPROtd9$D=^#A?@7Ef(`ERk zLPTC;8gv*k9J5un83+$eKADloT7>!$HdmZQP7G9W_BcK8u{SaI7z}}NQp?EhVg@>U z;g?1fG`z-*l^c;VSgX+GlJp8P33R!9T{G(O9J3U1_2bQsVVY`jRkP+Id#=G2~uJX9NI_nuT%W26=gh%7oNi+2WxQt8m4Vc{Vt|E<&8i-V7 zOwD9bY&tE%c*;_(6KKwBOzlHtgesOZmZMAx0&zjRorzx<={|w#mC`EU(F)33MkvJ1Z>V#LK~k;5$hsqnJ(67Y@+X%)lPYms~w}5 zRIpovUa5@o`TtT68lz|ke8T?k-}DA7-eu7*NBe>S28Q%M{jIp2je&)&(`DMU9l@8| z9VtQ=7Dcn)0fQ@Y%Ovp+ylh&&$%h4n(H1CGC^>}F{o!}T=9DX^AUPcN@9`5xDY7=F zKzRrMtso-q)qTfhi?8voJT615{`Sg~{qATo^C{c??sj}c{qka4X15V=c{)+Yrw&^3 zJo3&suO`R2Usj(c=Y;^wE%VlA+j)MV%l)|OSFQ^g=!NTuQkJ!_n7^dJzct5bP3~;QYr9hp)%ql z?vwh&RnHxVKbLZZ)lP9W1MHac_l5*aU*%ttGFn_{1yVQhIW05RMQ}1U<8Rb6&UeSg zES9~`NThl-jEot++pnFoJmIVnizaR>=`}DAvQROxF#M}Ed~+O>C;GD+RE4X!a-ZoXX{(6cLh&tA6MkeN{VTI;7-wN8)qWC8Q`Bnmkl-74fL5?> zaD!>XGy?lbz{1Ato{;iH5bf1%I*j_XAWyWg(>kVU?5co`DW0r}PBQ19t!LDtiKe%; zTwdx9QoQsZE94xmf~(6_GpHe}zMZERfqEbz8^m;upsC8PvF%luhC&;HzfCg_v={9L zSq@>%ODW4{MoDDRw8+ZpD3j5-;jmiFD4*Lm{|YQ)+A6rj{t`0T(#TWjUvzl1YaxTN z0p4J2!K>d^`OG~CDI`}3P{tjkB~~-#ea5#NrWm|>rP4ma;|YS^?4#CcJVzw_sD1B3 zmvbc3HGjiMx`#IRbB$qv{eC0@vZje~k3qeaA@eo0UE>uRFBKmj#R(Z!z9 zC)yQ$==`k##o(IMg@m`EScN`o{&6b^S{#|Ps2`1tccE5yc4yPsNVHg--m?FRvhpe9 zSxoUpCqG7`tc{I|MRpg8s4%<>2I1>jw&4^0L*jC%I{NlK+oM^nD=sdP-q^fp$*-8SfppxVbf#S$>?waV9U(qinA>F@KUWuEfieJH^ zmj9ikI-nh;1jRcY7}x#t{LUW7k~HMZ*vmNf7Sa<}jYfb)47Ov+smMv^g)6<>zP_~; z$Twy5d|9`ZCpab)EEY;nwpFvz%b@F$$*P5Z6|?>GuJIEjH}*%iwu0u|?rxoNOkb|HovDJpH2zSe>8c8% zVWCtt1lKmCUb9@)qJ>(cE1;c9Hd*f0BfPo$wxU4fFe~|zTzMw!)b54CZ!mS=r>}!|kN&I5CcFv;yCvf3z6|$5Znu3eM7@ zB7$kGV;*5vg^ir`dg>H}MfIvNv(V)cNs8OW%+AXe@T`4jF9W{+Em9XXEL;?a0Rsc@ zf&GtNi4-ktFEjr$CHgI7r07VkU)2wdTb+nbF>}GydPKuntb@i-M1np@h4h+HRXjsY z=EqnJbdR52zk+^W0`U*Liq-n+b~dHKyQ!6_6&b=InK0SmrF8aX_U-hSqinma^t(Gx zGr9Nmse7?wV$%+UtPd&M<_fp-srwJ%NrAC5W6* z*fid|va-aj$D6S(>kLy!^)i=RyA0s3(TyWtOc0-i&Da$B8i`A~HeiA>k=!;r;VDdu zw0U>G`03Vc?Bca3^Z(jF;Wl44@i4L@e2x-Y$?K9;t^prt ze{=rFxH*}>oT&|%y=RffZdq=ndmL7cXH^t`iZyhudYx?xc>I;h@Dej>4e1sjm3PJ0 zLOEX${?kt+Iix&OV<%5kjN>{voHV$bU39g$-Jql|J-_HW}v+eo^#`VYRl zFwM&G5V~r?#2IHt4mlb}$GdIkXzlYHlOGySS%BPa&MBYCUu;teKG}bL9?)7*LcWMF z9Tt1%G=NqH5%B)F(wKD10&`RQ%DQ+~$QxXBj8~L1JZdr4>b|w=EFiv?jw3C*w^4)* zjwjl*)tq#9tfH|Loq?Dtqx1!t$q|;%r+EA!T-Jd7v4nUpK3qh2A9)O)g!s;e?=i@a zL=1?osRGYTU~15QEr{VJ%1=EgG9sKM)d4#0fXML}+aP9p8g@S&r=eksr>cfI9E}!`>ZfMZ-;M~t9m0Bgd z{q4*4ux`WwOBP!^M5k4VIKPgJ%4ZfD&%#lDGs$+!<#9o5I_B2W#BhqcCvOmc3{{*z zsQe1oG^t_N(`mi_k+`|cAb=vj9VJyDzM2JdX4#1%K)4Vp-66jEOEhely_aJ$s-+}M zlpW<4T9(venRVEQe;vtgd8(@zDhL8!f%re{?Z@IJgA7%B<&vVl56e#NP~|O!mL}^ zC*>+-iDT{zeMXqYDp+zEG{V+^j70Cc%Qw%PJ>91i_70MvXa!X|Dy zDtOz9I?vu>J#n3}GziIsX#&hm5Z2v4b=EVc<{8dTP>;iSwS^Yd~iVzizl zIjryM^8m9uwI@OfzXI3Y^tDQMNR8pZ-iv*u^Qx;bE$lYeTm7p)y~$3#35$PjPmDeT z6eKTBSq)3V@tdv)hn>60f8m)(Sy@C8Uvs$N?~g*AWP%x`w^C<<6|BB4(@dsZhUh$H zm+3`Lg-co#th#plOBZrQud!IdMjD+nv7%JH^>*+}{Rug_r$*hgmmh3L^}hKe6F3$V zi@bJ_GLdu-B&4nEI3a$3x232Lc(bS27sn|-;4g<(`JGoA*$zh^Nc#MOT+8>|mK@lp zz!np`%oF4MMRaAZa_QnozjQj`s)o*++ZL308DnWhQWwyV-%^PpWsHCm)e|2&Aq)m6C{qIICXH@8ef zSE3!7AS>A~?RgrP+wE4S7$~n_(RIHWC2y13w%i$%V40I;$y=AR$5-)B?b_Gd!HK4A zp*mi>2TcWaN;%a-J``uD?&s1qwgO1oJv)uWAaDcQF;Yui=BAc&Oq*)NHxJzdQpeLG zNbz?MDV(apPo1Q1Dl$W!&zcb!$p)ndUP)oW+*yBOFp7G`DCvJ2u2e>!C5=5nBX}gN zzvBMyfc{G459ig_?3_Lt7#QpqpjWhWbXHVQx=h`+!<72M^5D2X@qO;|%W2AygfJ+2 z>$6wniEigqu+am={oF`Icdc_P;6}m?aYva;Q9sCKnBzdCV~ObKxxi{~p5H&f{T2Ui zoIZ|BTwG0T0Zh$6UwAzvw`(B}@F3S-GIiQQH!nCF=w>|g39zQ7+~qxA%f-=HSG%yY znq8~?zQCzeR@G0S8QvtT)*LXIH;c8=DL3yk_Fa~EHCW<8BQVt{TILOrk-zZ?I4O9fdmLSP z<)&ktYxDx)h_1R|AEgx=g7Y{ zcw3UPS-(J3ixvhpNLUW@Q|$#En~VOSYqB}{Pv=i7gjcNhb!+XfltZ=6QFX;?@D{i( zxDwmKjxy)z0h>K+*}oqcjO7EYPgSlRcIre`WcSs#s#(bHu#qxm%Samm4HW_6(Q08? z47q*vap;^rYJ}%f0Ec4bt+d=SHJep`x!QT==#|;JIzlx<`$Im0RyjB?(N+yt!PVG1 z>)GA6I$|@ayS_{kSGPLM+@u~N=G=?@CMY%h9fcL;+Jq9?pi%OYABTU%EU=yF21jMd zLw7AsOj;8ZR}lBu^Ekq$m5M#X>0xeSW4xx43zI6}5RFNV>(-!8QSbf0!JMgFB~6T>^hARUFF0XR9G&-5&=$CAhru z5p$(|kj*WF%+ffPSXkBdn{K*pZshP@ZzDTx>x5@VEdT-v9h87IGy6EEZMvBKy9}Vi zD@>giQwQbKsCBFzRW$@3fW+rB-9BJyKRfbfKiN0}R&xK*vZ3diWcDuBnfMXgqgbU8exUG(-AlwDQQ7#XYgSS zB-th8FL+iH|FmuA;}+Ci>i8!&$t{X@=o_H^UsRXPfidyj1X4U0IKlj0(ZJTd$@`KKKkWyOFzC>`#3ob$hr zR8+cc@F)RqCB{m^E@*loY{u#BBJY{>PsiHc!3V!r8H=Pmt{HO{YOwBHb7l9~0732; z&n6vWUDgV({rH4~%d{W*a_k#VFH5l9Oilw{o1GzV!rxCk2ZW+};;z}(f&UG6wxENP zqJFsolCR@GxqiwP))q!~wn`?hR`CV~KVU%L3O3v*q>dL|Jr<0RQ#e#r@>^zfztJda?-DG4zU#M`ha}D zAe3?`7#43kU-m(GG%(H}DGD^Of3t8Z_xIFb^*t+_U~nPC?|HBS6N$e5pjeO}71(s= zTRf|RW7@8EhsTpp2^~AYbW!)okPIfQ+xzV+;%cg=YhbHz1m4ANf1cTbdbWkQLFdz; zdEh(y=glGrSI_r2_vVg0*Vp9p(-$;sm-CkXzTdQ2(y%p_7>=L z`?PopB)4#J0JsBu0F7@SATmb@q5~i0&-RuMssZ2Iq+X{UZ;@f4yBA;*3~)?u{l?1$ zpdQlR_BJF`5*cv)=d*{0wT(e97K)cAI}zA-H8%Jp-Q7Wv4TMv#6-ppdT&k<(`%*@j z>3Qx!tYcI6eNjM+Cdiy~^L{$J@?GtsoCoMofgZ1PG~iGVfhCwO9DM>f&&Nf5(Ei?9 zc|;1zuDho}`UO*?xskW)cefp~qQ}Gco6x5YCK)TDXY`uK}rUY7fspn(7=e=a~N6}5LkPQ;# zt0I0kidL)zr664Z4EPTJ zm27XKJtSd+lM5!~Zl$P=6l6FhirNXPj^~J)#_YJNfA;cjq@Xa4w{V-h7=e2zNP3I` zv%EYtuD38;Q=!H6Bo}5+J3|O_(oK=<@;XW`$BH?=&eU8H? z_Au-6-6tZ})2C;jCyqgP_t+s!AD~~SzC7&FNg#_e(8!z8kqJPdS1<{bzv`W;I~wQE z@<=iMcvC2dq|k(-%+G9fly!1f@Q!TM!Gx9%LWFpf{_LIAO9C>D0_<5gIu3!QwzFuMX8KU?Ot-vY(RPcHVq*rVS30j>TW^zh3PyiAhwR+sACk{Ln!LOwOf0&m zV^652(^iUIK)`A2u-E(p)(U?g1)~4!qraM*xrikK3MJ?n1rU#eWdAxG-GP2LUOQ6@ zL?QSb=gRs;biHbLJ*B*hZNF?KY~K36Uzx=F_&jkZ!d3?^50E@CYH+G*Uo%U*v#V-j z(5VM+n2-jSUcTSgVBqS5MqvDT^2L!?Mytc{V@inrRNJdMQ9MQUb{Z3p)(|7;{}S4} zupC7Q>ycCba4z_4@AV<`-Kv&!8$+xhuNlo(iJyz07&b@OpVW1s#K&1T#U|@73Y}Ga=^f0jS(y z&Z=;M`Bh?R{%>R6v$&mx6%{?HIIs05qq?Re@B1bDf{Pm_c(3i|aN~rg0W9C9;CI2f zY6`>-7)`_i4e~y)XF13~PPf7Vg&IzZqE!@sr9ywUlL@aXV|=Pu05dN<6_}9JnJr~05k}@L_JSRuiZ;hKGN78`b3Bx^>Pz7Z{jNV+1a_o57j`!4- z3{E=aRrn+(##r>V^y_=`tz-1m*?mbCZ+am%Gay;-sJb= z+YYFi22}CT$1^KZ8G|VR#dh6EDbNSt=@S!jl@MSQ)q2+dK1+5mkk2qBXzv=pBI%Gi zJ&OO| z;DY6k%xX@69MDb^Ets@ zk%Ov(XGDC>zinxy_>)v4Bo{F8Ds$)Oo;9pLM~LN-Y(&)}_HKS*MAQ;IFMZYi^D-B> zy#OOo2WZgxBkuE9xQnCKqm`r zSa3R$q(ZU@!~}2Yei`aKQ8TGTj(3zfl6C(GOneG{9)s39EF>y0OXhi$nN~_hOIYXc zTiLj~CmKv!Q}8M$rCHBA_r<>m)<|ExfHZ`NA(y;O%AD%4(*8t>1}3jV%`?Oz2K&NvtHRw4fI=f6;49m-u(E{ z?Fo3od>KW(cc?Qc9EcUL-!8)^gb*{s#kx3ogV@)>J4QCRON37=uc?upSBF0DNeK~d zM(^P|9r#U+2IO9o()PI`lN5T($VvzOiR&iS_aWN`iOXZDOqf*qQk&T?|7E>m8+U-N zZu_kI+t+dcL@nMf->ehY&9r`m)X+eoW{7Y9deOfL1+{M2*Q2l=!eBoUUX^ZhW-c26 z5uMSoE~kdTWz+r2iL?#7sPGw+p=9^13|+6edSSkz(1-LUVCv48Q3#0EF5dZY(Ztrv zF(>1jsMpMAOb93AyEfO_d8Z0RQe8Mk1kI<8XY4)QGlhw)a9XqW3*#?r1uR%NK)*pAiIcTamt~yGmyG`=s=xSJ`;+ugfLnyy+4J( zgh9gx>+yCgdEY?ZF6WJr;)azYSz$X_X%B~&+^4S1z3JcCo@A2QxW=9K7iTdJuEU~T zBa1Nl=1@NW8aXH*1_nYON;ogT6YyTrMAwHlZ``Gv6-#>v)zv(N=Vm0}H2gjK+fhBI zfST?)v_`D|)0jvob>eu)94pyX7;qHBhhge1;{+1dsFjn6C(4*8{w-iipu9RS z#FsJJm%$h9sHd3wErP>S41}#VPf-8Vbp+jK|LraM5f|{%*3sx|clKG#!u>6G-3n~g zP8#YaWrHIp8RcL5bt3HT@zU0`iuX#um`}ZbUOjdYG~-5er-#26N84v`2h8d!j*SSu zvD6I2%O5@Vap@6kV;c%HptdAm57*p_C50UCRCnu-YG{i%LJ`CRM5{PvmAIyOrWLIh zwz;9Nf*QGnn$s+i#cz2=>A(v(O%ej?FDt1Kam*4V%K;q!V)CGG4>=k2P=0hd z5Y*RI#30%}+YQ0@bRUI05nOic-a0KKr?UFs;~7~v^T7CA#rSs-h97A0$z7<2cGQ+f z+i^}tb>SxAxQ9qwarZ+P@*Vh)X9dFGO)mvOyD(Dd<;J)fVd zhe>>)c*I*+e-1Jdfw+Kz+|c=DB?=)uu&spct;Af=Ph#M5plBigyJvj@nBmq_Hlvl6 z^x76%#{$b=kc~29e#Cr45QfJZzRD_MqdpC|<@)HlxWc+9-R@xOPg%;VXC;wWjOro` zZX`>Ko4)Jz%(oq({Bt2U*8qdimX!&dxTD=C%$^rB1L??)b(n-BEqTHuQ*H~zb@?qS zk2Rcxqd)}>*$h-66KTEvroS&cjVU<9UK7`S-lEIi*Va=1w-HlL;M)$z-yv8#NDG+j zB8Z~ZNu>KEDmx+{X@&J_>PgsX76qCAmzkX1z_+04w;lIcSB4oz5(^<71_=qnWgw%fkt62`=WEoo|jajYg8&Y>wj~78nqqta!tyrksQ=X=;4d&l0ot!I`CY z-h`c||7*l)4K8!nXCWPly7j*_ClatKs+@UZp(Wk0diA!Wyfi29o(eaYYR_mPnZgJie(|EL!dGjIr2&+z%by7-9bsO>*J%t4$L()he%Lt@c=xF9l#=(zpW zuIB7cx%)=OuY2Uyr*IH^ebL_sSy?Roo4M8Xx;6L?-ndv6JeTo zIvF{dzA6&@^-PqP{_~jd{;M@SRg?>VduU@3%UylKz=*KtHsVMYi#T;sBm8h`_>U;3 zM%YbienTDp;L1?lb*>6Y%0M!G=(C8WDMl$Me%>F)0CZb8y_ZSeN? zj_3cLbFTNjuJhsfu=k8L=9n?Y9OE~~+Iy{c6Q4fFI50vyKQ*Du2k%cydG=mW7cx;m z%3SZ<#JnN_{M}=WF9(Ag6@*Tdr`!kNj5HRDX<6WXcLgX-ymM49h9*uBcZIWG5m|^o zBHsnLu;gM4zuy>?#cawe2KZ&MF;UP?qk z&0aIuGPVoTR~W#HPvenH7SmF4VK$m&kse0UuK{=MHJw&Cz6gDzF5Olukc5T5!C1Wd z=Vn8D7K9S)YRq-KgU=?G0XmnO3^Bpq5u8`!d!=5z$$E^hN`gj7J^`#0EV13J)OE zfXsdMR*HXOnet>9eE|P>`5ZZ^nW3K;lJ!T2`>7c&T=CLf_m%i{Ke8BgqeQTw2ZYcv zYnalzofgd#4VmZe@(a+fJxYd2DNjeSkj3%^Of*cEkCRkEg|!1S|D% zFpfa)vfv?$ypzPK6a=!E57~8S(OQCsEZ-y1TJ-yD(bVUg-KgV1 zVx%$o4}S?ZxQHQ~Wf4@8!ibfsRL0OWMtcU79hA=e!6yBaMru z#737jyUORCjP5;mI2B?Eoi;acxhqk01agQn3^K@E^&5?E-$Yog=w?=Jk@ES>pLqwJ z%{=lN4wBPd{!Cv2UAKMxc)MbeW`rnebo-azfJ@&Nb-B~nr2`hIp3ELmakDn;S=j+*dr#<`98(w?diPiBS+jqPs5+2vn3j{1exKRfJjl~>tpELngmW@B4;1bp$UeX_cGO#qe%1Ev)` znwUh931ix?5Q4pMXbvXhjTfH;^W{apCst9Z8+u9^@-j5w^or|F?448G_syJJE0#SI z(=-r@Z$_5XJyuLr{*Qf4 zc1{(mY)m3<3u&ZH#JJ0!hKGr93H?NY_qF2Pr%4ZKhlJc@*A^!$v#dw0sAy+Kgf03Q z8#8xN@J&vrEsnusKX!cm%UlsR1)gMTeycAx#_avKC>nEWBsZBm?r+dq%IPaCcTE5;n`=qySb@y@?A7z`Z%Ij8w%S%CJM-)gEC=2X5<;%>@xpFXmtU{(>f1L z^qR(PdnNI~ca?F+`zG&-C09;`OW(Rtlbk#!@W<0s$f$i1YOY_@9e$|MGQb(H6Ls38 z3p;-esGJ>lBb`Jm&x^G$rUi!fJ9j$)E*ume+h%I{j}oRE!>4Om+|SA~URvv%6=-Fx z^as&*bhp~J85PE;Phm)GBS|vU&f6j%2|u2K9G30YSAKy@n$6M+%ao!f>c3sj{6ab> z22G#)b1>?tgprR{V?(DA#Z)J<9l>q8>W zo>fm~IxH7?6vYw6T*MHG>CrCuoC{-)YgYwnBQ8X2xLSn$e;8imJ*_9ue&e!;S#Qnj zI0t>WPi1Z6(@+~&jm1r_Bk#L8lYvN3NPo@FKYP(&@y6F$hrAd;Q&vP-_p7LfOl}#L zw#KkI-PNSx(Mi+C!~O5hIo>-c>ol^>X=-ExT>ctp$ZUgtuODsZ?}TZy=8ay&=ME** zVUNvWP#(G1L9DOle(?!u-zmIVZ@Q~>i9#>a!3guBfl*dmsHbbOf=@(QV{V>yEcNP} z@6-%NAmey*wqcOG_kZQ{i%Cs?KAzWx18GW zh2eB!udXUf!wW^Yo*4ADW?_$x(zZ0O;GPMoYr?J*_tKxeyzA`uf8|Y-rOr}TgosA; zbibp&o~8$$xu27!3z4GCt1q1y$j}2B5*8rC{`G^u#g9NOi$?mOqdN4*^Qi~o%5@hl z@G^aY`Gak4G#>Y_gX3R8oc)lL*SdBf?yEt}=EoZP0v9|Sj>TNgQ7^h2=xGr|y z;*W4yLH9%!;f0Drw4h;80piDT$Mux-7}qzvgj5b$*?tfz4y#E_PJX!EWL*JQ?)tek zLJRwuJq^U1m?hxI_O+ilN%SBIjD3jP!NHM{8Pp`kdySwI;0NHD*`l$=Vj#`9cX~Wo z1+}@tDZ2VR?+Un8Tn)I3+CFSUcE9l;r4f^P)S&Hn4ZQabxi8Fl(^>U2g}%!GeLI4_ zM{Qd+?wZ@Nsm=m>Yoftsn239M4Xvk<{vG~}q`r+R-hAX@JgM(_7W6wy)H%!jk74x!>KX8q+Hpp&#)}@3EgJ+~;q;4v&&IKE7 z`3NB$6bMv211jR}PO^(3ro`PNw5^WgSY@7u%30z^Ef5R25)(H-dzr^V5_Y4`<#>NGsAZv0%-9;rl zZ>fMpQ3zi+#`tNk`zVgLfYmW|+ zHjp6|?>4r)oXk;zp+XKE8PAYpN$#!ff4^1R7ET-x)@jKIkF4z4$iYSno;{N;o=84) zAu@oZJwnVIr4*`9U{(c%0dLnMTFidaDxqPf#tRt=GnNg#Oef!UO*WpPP`wb@bd^oN zZ9bZwUDWe@9V@&@A&B}wPK*o%DhB>KET=hEbkc`7mA^WNqZ1Nx(#U8j9-4VmD2}oP z|BVAUDe~fB@QIYL_Qm>-*%Ly28X2G2O&`F*-q0}?Rvco>*g+(j8Gw6;K76$BN5#D%zKRIa?abNp99 z3i$orFBGObU#8}>L$@;L1|e~au^3M0C*xw{k$gd9W!8}_Mf~!744y4F z2m*Q;OU_m1GydLYA~@ozK=Yb4CBNA?>=vVff?|R3xU!=2B%D3tla55{AY_wWn7}Mg zQt;UknEEpxb>>prS6qWfePVY=t<(m|YRXXYfM1gD+ep##nI z$(^L3+i|4bIAoiy7t(E9u%}o)FZ-@m@7V_n@X_gF7tI)KM#8cD8apqFuezs|)C@ko zLrz~*rSof)_c)lQ`lC(|(s+A1LJc^uKvFldp0Re4 zVK-Bv!|715$aQEUhk8n&YWsLKH%TW+U&9$8p^k*+<QMNa(_xZ&H}<)yATz3Gfv@Mh!9fycBVD&o> zhjmEn+Pyw*T(*{_j&pi4R7-uyqgdh%7oTR?S$E|@Hb_=qd%Z9^8OY6WoJ#4weL!uu z%oGOW?NEn#!LEy)Pq1O6K}7L&uQ!QJ2QR6i#LC#>ha<}>TP*PPA=a@B;qGGsl~yoY zT_#78Ob2385Dkq7hFN>z{Gg*mJKq43?R#%*!q18-1wWRfIp?C8sVd|JeSM~3UE^Rn z&M?Ew2q3Ujk4z`&T{i7C_GAa%M(oQDAaDR*nk>K<&yRiC(DmJv&>L)p*_4r)R&lfa z3`LE%ThS9l+*uXQv?(LzC_ueXPWkx|RUw~)z?xnHsWePx}itW$JB+B;+ zBuWz(*9gs-Q_PX0bi^jhFGrV%F?RXH`=axa*Vxo^-PD!J5w{vujULy{C{6{~rfRdWCjrZlt|z4|S(if+0|vI_d5a68!92z(*2G!`qN_f5*@rh_00 zt=0Sy?82t+MAM9c-eB!n8LuFpCO%iJ-^*UJaS7@B)NO%SRcU&A3n!j;=@4SL?S+A+ zwJpfODRbSGI4i=lrBHyY`k^CW-oxJ1MC4*nKojPot%SI@b=AKAwV0SzZYxzSHRq>b z|MK$;7lHb;)_pB4hK=piK55t3-6B(D)yW-wb&ATlNg=<_c|S^Rh-9r0CZ{@VZ(EGJ zpO@f2{;c!Lz>)r?D)*0e7QTZpUft?i4XtPeXFBH(`4n+6c2i~o%c?x|so4jdCeyF0 z%3vCeuyy6bpMnJyCKnXIsyMuTdDN+!m#X?~nOi>agIE7gs4x0^zEaK-!X*)m^#vX=K)U98C?n7VB6 zMJ&1c{9L<r*x<9#}uL0kPnSy4-W%zeseRRi7Q2 zdM)KbhjI6w&KHk}-`d&3tIt7?7O{U1TOyi=5Hnfx^B0)2)m*Zl#Jvi9kDZk89xNJT zE=Bt6Q$Sl_xKqCn>;#0B!2v9q1qz|?(zA1Cg|Tlqd9_7t;oUn?e&`ZfeFb2XJPd;- zH3gbO*hclx<*Vv(j1_Zs%Z~4|@<+c0`UDCN;W&2p zV%-Lz4AP{bMSK?)?Xy#fVXEnYVQTNqqe%@euq!1MhdfW_*lyJ}QXG3kxGKX&Z7NvZ z#8-{2c`3E}06I9=EA1Z{%~F4k=jKQS%QSVnAPgRtENaPnCh%@dc{(5%_%q7J$Ppwd zn45j=79+hk`6&l7dioM>pz($JQg@W@Y+l>)$8W@brJml4q zW65vR{Ly}7SXHYBDb&2fh(-a6M_kDHvdHV?t`N$s9MS&zh+ECiIH;vMsEm9^1yf$; zbuqOJGb`GYZ%+Gu>}~ZmrdNuEk8Hoql~9&aFLu<~rf!HQvJqKzn<1~Qt7BkJ5a#X}Y02C1(onCuH0VY_mqBDXYx?f|)9WvNY*C9IUyG3-Q4BfmDN+DYm*~TBV?sdl9Y5TrXa_O@%iGR;x(ZxgafrZ%*xc*{} zF{%^o=!WB)O1HQS*>=}5!132NcBi{Yj)892S=PLbbc;s0 zPZk&KB@p9F7l6bXm~d^bX3F&b{glsBrHInzYBB}x2Uav-b;y;{aX$W}E3clL>`}C^#cs~KtQ;M{RSK%AHSqs|ar~{Z zYWf`tduG}ld}t;F1-%<`T1PUxWw zgR@P()gmE!Lfenlf#wa20fp#;lA15ezylUs^q5r?8j`1qPA<38hn_rgOH_{)%JX&H zUp@3~r*OS#E(1SS3qDqAuF4nPun)2nT7?!`R}VY!M_ZuTuFv_>mF&35yRMj;=8`Lb zBTwY!`fRWQN3J9KkpNK-BuqX{hZOEnWlWgU%cEr}yc7;y*ZE{;&{q}c>jLz}p$Dqd zQ=dPYsYI6fsOd*BTqqQ^HIX6Vusigm*3lHb*yd+uo|`qmiZD^Q>VfW<`)B4a4Y(3% z7x3iB;wY2*#Y%glUP}pG#F)2pkrODToSsJ6(+`u4_}S%n&O_T;yJkx7yHE}dFTC7* zw7d|Wl2KoR9oGD{>U#JLadug5eEGPIR|mpBy@nSDOH`-m`9y8U7lZGlXG0E@Z$6vD zxHDB*%|p6A%suayj7lK`xd8p zCti@hq&HTwmcDRSdv%J`0QPGZzw+TV+Q2bpmsuOjmY=@Qs#%3OD#X^X%xqi9q7Ry6)U={j|;s|%0Ju&TzHLU{-Qjj4pl z`PrymSx3zWGO+17_9y|2I>1gXSQk6@aBsood|LeTBl|a17%Q4@C=q|k{~UwHfd%K>K3?4so9iB*jqPcU{FuJ*Z@ z+QQ=F*E%}Zn-J7-xzMQTxkUw>Z6mpJ#`*n$jbde;qm&0RN%C5F0^ynpZu+UmzSSpd zNsGrr0s1N%!N|8qcNK?(h-WC=F%20TXS-0oiS}6-IIoe*<@9p7*!ev(Hf-40#`NR- zq_Be~s$+j75n4TG44hcEsYz9gGe@(c9V__m6ktW&pdFhOXdSnby)wIAmG|ALyJkfS zy4&E`*99R9-@xN`o6KJ4xmFR~ai~lETTEhngXn;0>{23jhef_?EZjL4j)Tc;-Q}32 z9ohHjC9^I2a|ii*M;vRrA@jhGFi%hBu`Ul<4oYth>fM}$X0#*H8d$fNed}qicE&b7 z-rR}b8M)o8osNQ4<-|QR&}^RVFYcW;xCK2ii8En?faO~?u ze$&|zNO3B4s?66Pa@2B1IiczP<$B?<%xV-~GTz6QJx`ZUm)`BcaTP09c!}3ptitPK zgX>|J8Qf~0?N?7kZR&LLz z300Ei{TBCU1c*C5P|V?Ekb$!t<1ygIe% zFp21EEo4me6Jl|$)~BEHOL6sWN|L-ksybm}SYj0olD zG=abro4YgIEvU2#&#%u@9J|{59;HKikr|w4Y_=K~Z=DQ0Ln_{1NJ!q|c`JrMjYF_M z{>d~YA_FVJ)2_-RW1wcLaYvLpu09Xm#Ynus{)U5MO1>>#Zxhy5y2QSVlVXa?mjGjr zKq(ZC-r3S;U4_0C)~nYNOVFl9RslK;hqas6G^kt%1rY43^eXx-g-z(c^jW=V1=%K zi%a7&uU?c2KS(EyMeh`1T(`sbB1F1)3kRF+0(=NkfT|lTFRIPwsM6hHr12|HNv4j` z$zf!eQ4}t5Un`q}gw@7u3KSfq?-SH0#6%?zn6@Y06ovZLBrk5f@t7h7qk0BOV#|eq z2?g#Mler&7Oxo~c{Ja}P7YP^{Y5_<<+-W8s<^Y0RKuq`K_lc=&D!{ZJ zU>cJK4$_5nljqVi89x(1UO=Cs2u0%SCQVX*AI5JjdNL%KP=VegaDKA*543?KF=@+3 z-hYgg6=(uX^nQRd+!X7e3CxfZ{bksHnc71Go^o=h%tS9G58qag`z82u?!R;FJh|!} zOwO9nEqqa1>ZR7R&JLwm4+W)oa7j9qQ0pOw*c^Sr@*t07NI}MSsppJOL;dtRrGi0w z2$(YP))7()ToB8AlKbS3vEFwo{uB>Y>gQ51n@01ZQXhlHQJqH$YBVq-4p4`PF9`FN z3PnA}*N4YA6i*ck@)R2(+I4zFFDe}fFDmC(sM5#isCxwg9@r?& zm<-^}(NXLVLTNij-E#>*^QK661c-p+6)Q;hW6}h%{;I9_3$ZPVt$#n{U;6Y<#RyiC z0RQs$wsYI)k~bi^aq?1v4eU-0@*UmScMU zbF2PE3o;33(0J^eL;(Gehxj*E599n|;Cj1mGSK#oI3)_XjU?920r_F!KiU7XP9w~=$Pn(c&tOL74WbofL#;K1;#Qhgo_;$M3CWETI=HMBdux10M9=5v4O ziAZ?Q;8MUZ!wK2~Q%qYCHbc|6+ z)g+tt9*c*iXF>H@*`C2_fQq6(aj(`&9w>J9G<==i2mUw|EimbP;XJt(y2i&v%T>j* zn{}!|sWh9(vz+;@z!@$#M2VYgS7g`69tC@WgGPj4U+4RZ^STLx+p+THn~l@S^<55+ z)48fd0JevD8=zZF2D}R0=0lzaVy`Z3QB9V=j~h& z=tLq&-q$)k(3<%@5dA7r&{YCVM9~1^WW7jhssBg}!(=9tnZIc7BEjR3f5qn)zs7ps zZ6+b8KOtZ{9{a}GWZ5G#0oGPV8zA}Q4{(-c{G-cy+xuqaLOB2zzzwA2dkm6Lm`xSJ z6L1kq|I38R*F|SCKz)F0MkLvsMl+hX(`V>TK$B+*aR`I}pHcPN1`#xW2HpOtc_Wps zeHD~YEzJlr_$n_OLz!we9|JE5nEeT~P+(J(Yf5qY0_tzYw~V8o=n3!?+w&)zt8HOw z&J<#*ssuP~zw9ey02p(t+nOVGqDGO)R1Mf5{xl8QV~zV!An2mt0dsE}LVd3%As@VF zrTc@Cu(-ZA+|twMq`x7Tg)wo^V1Z4q^j8mcZ+aqL7lF`1E0Qcd zz0ci?^{X43A29H|xME|}s1`whPcVQMfZ_(L^ymXViRb;@v?oSU0yc%=uhz~EGyv47 zU&+W=M9&~9Vk9EoVP#I+$vq1C37URS#0STo(^7#P=r1VrhkfP#b}}yAAWSXb4M0^^ zDkai;90Legry)@tZvs%p=j6U3K1 zMAZ+6u?t0t#~b}UQ|twkbf-A>8=j|Fo;`8ME`-!#RMLLu+|q;W}9B;=J@%9~E zI?G(~DETxBPfn=QdD1+tfk!kjq4mN1NHQn0$F_Hr37tDjY=_Z4x!5syjH!mRZ3oA! zonz~?9NpC{<>-min^ei1HQr^j=`->B8C3X1GxN#0q)zOg^;1vrEdYP?KI|C;Alv7Y zZ+)A^y_3M7Bx`^_W9SUyr^@5$%ziDa`}$V5!K3ur=E6`?dQAR~d+HLa+a$1y!>P(? z5yMv&ORaIJt-I;?0IR!9Bav=oOi`EXODMX|_5|$_cOU@ClC4AGoI)i4xb_8zCUt%Y zhI1O=0x(JcpQMn1b`KJXbkw~$C^M*Fqy)j-v(5a8>sO6<(xlT z15C}y?wJB0jE^``NZKy(Z%jizCmof&=V}9d9IGR6jU`YVAjAORoFc#;HLBkBFYE!> z0nqqM;5nrw;R3J?pjCtd0N6b(A~&cnCd--#r>(Kmj6ZN&j+rRpjC-oFG-G%Ayvgeh z0HV&g7a89JH)6T)FLk{I;j``y#%nWynDW(wQ^5sr7gR}1%}>0Y(#ml`$mzl?i3xDO zPHELZkp*=GdEcK<-sgI)KVEMnBmVlVA4eaQLJa_Eci=T9kSb(u^9Qi_PJOArJ7Fit@V~(n;CP>zNaO!> ze`ttlxe^ToX|G(ozEhzBF$V5$$58j!&7D{99-#vh6_kd51hip9jugz{PbJBDl^A+| zoN8VB^Xv>nsFHaBkn?AR>fT&NKLoS>OtM1(|fTRbk zC5_Y;`4jQ|ZS;!3h`Qr|fX;n*3zjMmOaW_@}b`4RZr=!8Jx;jJ{=p|HkU)iT*(rZ^Q2D7)RhZ+7u32 zNbXnO4*TJ-GmyCDeEe{@;WB&JLy`n2&7pBPOs0{pKy1JUL-c<-auZe1R(tv{*5vuaArX})c2d`4Lt5*{FxU2TM13l;&7TX;?RRgydWN~6c!CPw z9lqD=k-&dA)2z6_{&uGEw*Q~bG!EcUe{ABvYUyvwBwFk8LG6Fgm-|cN&?x^9m}mq{ zh8{9VV6%)Mfvpzw3-d+_MP(zaHwHMbX+fJINdS&uc|!uA0mS9~mtGQm0W~ZMZ(e@3-*%g<`2B*WiIXAo!>djgcAO5#h5~}LlykMHl2%MGb>MN z>Mm06&O+u3=P#U0zJ5;e$bhpCBbSM>+i0UWsIv1S6sbo~K6L6yhov;d#n}7a0K}V? zm?@@?-vMZ4$w<0n6%xQM;C%p+L76yUGaz|#n(i8?H0&&k2E>)H+#ljfXDPrI-vVmy zU7E-uZd;~uGWFHK^3$61`&s~I+|vT#B@ofCqUngf6qdUQW&}VCM{!yC*Q>t~o1jKb z2B+0TUgSrLqh{z%crrp z&)laGgp`jNi#`qdGm%|O5Zn2@FwD1>#Eq6oT8K-EGQfzM=GC2n<(R$kQH zUVt4e|bL;cHh|{_sED49MGn2i**Ckgm1_ zg)VXyxbOs^A%OcTKn?(g%v)nTV!(0(5OvcOhKY*cNlaQZCkU}_n##7a65iiv^X(@8 zEjs;)%N1}?f4iabGg9(QZ&` zr&Obl$@Pi5!445r6m1Z#nXdYeEA=^AG$A~HX6D8=Tr|JnFVki8{>+3r+SeCOAsrFf z-gwhHk=GZJqFl2qS+-mGRI#ne9D$n8;+;_3x3WZX#Q=yyjw*fY;TBZ(U|hnu?gX*x z&=w}b{k|dmeo53tQ)mIon&zIPwO19^JrPIqY~VcDp=;>7p@$A$-9r2;4Xm|!SJLmJ zP(8jIc6fQzeUL~X&pXW*Y5&38uGB_XK>2$%>)lK0Z0nvMRax8GUvUS-_~+)s1t!mTYA?RVS^H#AM2sw+%{oVqDBZW zS9aBEvQtktdM$o-H>3ePC436p0-f?>eo>${9=o>69%RJvm#%7>R_!=tb8%{#bI-$z zKvDrzw#DJ&C8h5|xO*8lu1_En&3^=slx&^#&ug0R5{Z?6d#173%7!9kt9mors?UbH zQ~z~@ZOprSwT56}{<%$jd)AR&?;9K5fgdw;^ugc94@eiHBt^B9;|E-X&z`1k^)_rx z=!NjrvrT=P&zO<2%AmiP(EB#f3IjZ(!T3nB%!W+J5!XV;CSJt6WI`_m1|JJsbgoE_ z+{a>a)3$EI?i${XMT5E3j4Mv=sgvZN zfbZf76D}UuBDt@pM^}A;d}C3q>Dp-#8MPB8pdRY@3!AwoO_a27Z0q&DVC?3#DTH#HGT%x=Hf3PDYrrT1x^EN26GP2sOTG zzG#|enC)$rdRZRd=#CWw9b2;LB(R=^41QvD#s;m9h0{dCaP<3$6_56`%4|L1=1%)N zdtz2w=NZ;Rmm{=FZ?x`~TGX|lulwe(cJOU}+b$Kh)Htvt4$%5GIVeXFq`R8Go>)(^ zl-SjpG8l1FLx~O3IKp$sJM?8stT9}xhYg+!)4}BDf1*7EW?*zu! z{N8z_*l|Fb@)rCMf>D{I^{#2iu}2QQ##PfUL)-{VlZ6?2w@Gkcz)P2f!M=L9kPpf~ z5cOEiSa!!f)JqnP_b=HAKz=rl6?DZbN@|YrxMzCOXTjn9`4+LDNF8P!w-L&F6NP=k zhhaX9vT~;1W-_&@fmm)yc?a(7y6($7Th8sJ<-t56En9x`7%7NX^GjaOxK`&u&vCY1 zy=SRSnp<`E!|+{!t3PN+`lI^ow?C_!8h=$&LOZaO)EeU=wqtx3_p-VlP=*Ucn)^_H z(@vrhBc_}hz4rXz`6}FJgU7eec|GOqw#FLffu*@)3>a{MAjTcOCkzjzJYSVJ7t+=i zQ0b(-TDzL=w6e_lJXILVwYN>^LR?o7P^4eQy-|{LvEaTpab6QRzZ2h@y5M;6MH7FM z+Uc_a^4m9!^FX77pN&$SfJW+nG@3d|?ld?)sLI}qJi?5*G*qa06OX@|-BO>`l+wu{ z{P7xRP8)Z}SDYy|`r07ElOnAr1?oUnCDnwg-x@yR@)h3&7cWV28jX?(@UAbIc<9?K zp?5rA{kM|8<&)}m)n_5WC6tYklG=add}6pju^-$qz>?p%=a;kHmowCdWwxSNero@?K&tvk24ELI0SUU_8K0$InvX=EH4*WPLp3Zvh z0#A_q=mPC_E+!JzN3x2t(1RpiIoeY3blH`6)>aM~mZ+B$BbB66vAhxW#i=vB-81V0 zH!)$}^MC`6Mj9D3DlVUlUG*!W%K^)J27E@V@BKd<Np1CrSCE76UT^uWUy+!B(A9wIEAv-hC z5vPTr(ljwUvt$YiK5j*p{U@J*he?XjmiM6%q6-?&IU~>%8RN64StoSsd_33s#E0bRi|i^OkNAfw1k`vFnc5 zlTUUvnpI^n$3)w!NzM43Y;?iP(%-Vmq)^*iDb0Q6F)I^dqbO9nT9s(t0Uq$aI!@%z za46##n_cyc`uVL%0zrK??rg?!qA~3gpD=$`c{sDF$8)={SjZ`SDr0>^4dU@Lv|cE8 zG(4H}gu=t!HBTci5`q{i7N1E+_NRh4(^aQnHit)=izldbeFx8XAPvsc)_T@8U@cp0>Yt%pUNVp@e622=|@{%$PK+2`Q& zyd!bWTHl3N%`SquaqxBOJLN^oQ{}~r=SrT>G3dJ^YFHEPML)SFRNih(@hyh+I32eX z0e{&$PoZkR5{^SaMQL}l`=_Bf{KNmNACekzuu9?N`G@sCDKK*?kLFpql0y z^sr5rEKV33{9d%!tbQ|j?!_MZEo z6-&&``S~mBi`Tmrp7!LE=RJ`uPB*1Jg6{_O^=|U=gJBlcIYoL_ey z#uF}cc~B7^r%Ij5B($dutUg8}KlR+lV}c#v+hpl>*HM#|TYHCPED!yDsa0l4ysv5# zYw;1e-=M|-m~xGORq#v1SDg&dgT*3}#~-ty$c5YBU7j33SO>h-W75Q1&Yp_Tx)t?L zRCC-iB2S(4nIlU5tbi2AWKMD%$BB9v6BZuJiPT@9<~YX{v-{_w-G4mG%N zUV*XAJxvi(f0`6Xdkd@Z&CKP~@GJ?gVs;%p4Gu@y(cY$0%B5T_-PDqPnF;#-umY)5 zHYPvpvUz>v;<(y&0v$%jAg+4-oyYbr-D6K-F6!5fJ{<1%+-g_FBN@Y+vd2^TPwQoq z7<(spfhwFE@cS^^EEpA0%bKMH`(Rk0nSNGO|Cs-cPXCXF6r75%CsNS?U|5225yIn0 zwjQ4k+>CZRNTV=%qX{uLue+#}xt@wMdG#=NVFaKM_4Iq&K2dmrBX>aV#)?k7CLzAt zYs6pfmEOUtEwp4aH7DJPrb)=|sDLH>#d8!o7oEe6W?0E=1@TeL$B3je5)+!qfSu%i z=J2uv$!GPb^L5($WkZsXS}AysAQ8w|nJL_Gd&D>4EeRC3%8z%IXR;z#kf0F~ zam(XiV5UCmLLJ#t7kP=^`IWessl0I6bb{mu)3({s%r`?UtU^NSA4EEovm@NcA{XL^ z06S=*QVb2mBw;H}{`jPAv#5aC!mQHno1(9X;wA=C>?Mi+oH7dy399 zz}2$>{DFi#>+|HY!e+~IEDg9Wf)n7jHa65J5royI0FUoNXW;wz>n&R z7HlAw>D!st?Wqz!*DCty@!;bZGjYr2FICfoOk>ZSyk9B#GSylGW?xR7{hr<)&XTUZHN-@*csv@up|R zq%w@I(dcNK`d@=tyJ04`y)7)zaM__RmgbiD7#|8x({T*#J(5G{$Xc_7IlV3DgUh~% z7*0ipn};JUXfaohfLc6-Au#lIPCzULUD_%ZlDJ~6pEnE#H4=R%C>e>g;LP0B@i^ku zr$*+d-7wbAaSaWEx=Mz1KdzLR8Q{HLRV2@0&;9~!7;nmuV&_uMB;%U4JyhUAwxUI1 z1j$~hG45(cUT&cNae}$OgsO~jEvc7A;nAjXP&~|Y_IP|A61YL2FBXpN`0R>znuXMH z6L*&2xD?&$X0B84NGB!GZLSuK#7-_aYp)RePsPRJ_T8pDUxoY8kqnR%s#fyug)?@w zK1SV)2z{nWV~+OEXyg(nSrqEbR8#6EmFMG-9Xt7#C~)A?Sp8B$ z(6}aMxl{wp7{LSa-_{H0pg1%`%2>TdID4h_W?Dy>wm7B8pNEw7LFMt$raWgG7=cw; zrDXv(N0Fj51qdM~0m37I5Kd13p-#NWo0VmHi%7pZHQZd4s%>=CBDy@BnMQRpCUxzy0vIRh!-e2bMVg^`?TwpCeRJg{<>Rqc( zb=naca-S9w`Yp2qlay_>#U17wvB8n|&~jep40CE9wYBU-4p z|A~}wL&fC=^I`$QUYDEs`|iG~{eiPIU`|Mk373`g2{+u#i+Fm>rk)Cw zeUp!)mNm~gZX=~--q039a6%?n126M@tkE(Warx&oqY{cilPv11f;P+T4lH2<*NQa~ zQiyxwMjwL8KERCK_D1wG-39sKzN~GmC<(qv0)o*gZHgW{AXXD#&fu27oJW8;3t9qm zE&%2XF+148AG^yvl3=|*k5~no1D;WjQYTIbIHDh@gdJMuf5M_A5=}fQ&uK*l{4@d` zm_GJ0r~k7?!wtjv+NRLHDwy~_x7h>fAJd=#M*+i6=`JQeEd-}vS*+xV(iPj# z)QMzFzMRo#b_@EkNOB6D>KH)g$9#|%ZL z62a(u0rt>lJ|(uXH6#-(8kRNAMh$dQ(w-#IKSfPXXs-^2{60-!Bn z9~^ndjG_BXIjK1X8Oh(^188)yr8E(uvnVjtYlJDAN#AWQ{4w6YjWjx~I3Nc5Q z8@LGxAY$wG7gEq5fu~1|q_8nBR=#CE9fx969*eoGBtc?RN2{cG-&;z43OxOp6r_m$ z--1;%5401kdq;j()BRrpG}_M4>1h95gqk!bR;sj{V z0gPnX{GBGBrYVQ;!_B>R0?bb&2UjzkV@+FeHxE_3kbNB6=IsRSL<1l$u?A(Z5?Y1sPr5t z`IH0d`w~QJeo8Ba!q!+tcIeb@r!59-MsPeU(6m^AHBJ1}_^50so&IMnm3#NUuBH67 z=A5S$?S|hUU(fuzmV)N|f2Wp~tA^cuyopS(?q|5L+N5p#wJrN@Gk$6C{a)nXbA6a) z?6)ex4TN9zhI;4^dlLbes(-ULfE_~^fqey76Tt$L@)^6s9yiUu*cz)iQBIKPOaaRi z4zfJ20oqp}%QK}B;asO*Q$(HumFh?Nn(pXy$FeQ-E#toLv**|En#Es7XeK+bv5TG%ndz8LqboW!bT{!qO zUwnq*Qo%oXZ)!J8y!)_iV1WI2twLL_lnz=QJgRwhU?bL1vgpcyDT)Al5O5?>Sg*_> zs%d$c7awOncoDTh(~9*4VEfXBJO|m_o(*;GW{Wxc5F1cw2q=+SCTF3I0UoDihF6NR zq~iZi>o12=V8T}RZdlw) zfukWT@&&Wj7kf&(1s00v=N;E!%>Xc*YcqCb;CEmS9ITRiRoNe69Q*+z28Dm;J%#ZV1m zdsXcF2q;kvj1BXdjB9xRANJldDz0tY8pR!gI|L^Q5Zr=Ga0>)?cXxM(Ktga25*&hC zaCditLvRT0Z&hR``<%1iz29r?yWe}Qy&qMpR@Gc{%`wLqefHT$QLuIo^vdfGL=due z(Oz&{y-AEo?@GX%HI10X(v$mYkjrQ!qJ^*T6x%bd|asome6)xfC4QBuF(P%=szx^!#%bD;ZwEx0{z0( z3i$1TZILTOyG3q3z)#_|EA6@Zo$8+7RGT2oTz4gLXG_KwA|MtEg65Uo256*%dPe?(xHk zBEa%-!b~UvkUUL}p|-vhvwzsP0B+pf9{%sS(Vl4uCz9fc;%-s1JHjl_LG^qTZJ$l& zP->6s8Fl%tKMZ;1czTZ&m$kn(;zOzhd)I*2DlNOa3#yN>JP%(@_1kJLSyrn!f(_$5 z>OOkqNeg;S%bmrfO@L!3s10jBmm2)G3#S0=B^avqhcwcObj^?%@N&QJCH?}dD^6WA z3*+T_Sms~=sDjJ^RC)o^O$VTo3@Lz0b(EF3 zOs){g*uRm_X(SVeuWz)T2LRTVt^GjCElbxxkBt6+o$Tsn0%xLWMB>}{u7r)W)>IP+2!Iy2Nn2Y`9{{v?f)6wm0h-#dR5RNwLY{j#+IF6Ex3dD9aU%aUYyf~if;Qvu zZ-ju&_yTA%j>k~~Y{qS%fSo)0GGKAVYZ(l5U<=MvbY@JFaV zqb{cAj)^hi5&O#+dIyQEY}VS>GILIwAQ%4e5~rp|+>+Ebw^ImdE8Ax*6_}Bl)>7z$ z?UYIwV+u{Tff*;6jchgNG_x0WW7rv)#5`0}^~_f}_P`063xMr{vctfNy7)Gx`ZnU1 z|2RoaChj9hSO9MZZC-Kun!Ha(3j^BvjdC}S2@TersnltvOI<_{h@0E|2;3kC88v3+ z6hXjglpH7m!`5a-L0q7ShU~@yBKi%;QW(&>8rLVj3qQP`tqL1I1YDh;Qn^2H5(ye@ ze4qII5Vz6aUY?F&bLP`g3{}pI+Q=Y0S>!aGcO|%0TTTOAD>9bT9bvikTBg8pD?gW< z+7cXpXH;LW7}&kO0G;?616sxDsg#2H91*8!|1caM;4pBLv~&zVk^R5imyQtv=o*r|DE{?D@`HKq3NsnP`|sJ;?OiX|8v`RQXG8WLp{&S2)PHxrT!h(O6As z{{KA|R`~qa76K83ukno9lIz4ne^i)kzT`irVENA!v}a0*fsk+pA{amz?Anh%5r$EJ zo-;Pa>^HugCaCNVtpl;4?(-8|c*ZBE5F`}=b)~tKY1N9AnpjP5_1VNJAfDafRjJH$V5GPg|`Aq zOE4hS7Ucg4Wca8FE%gmOJ((7T$fze<@Jc|qi7U^U4=e_N4l5PE%n`uWJo=r#{|5x+ zpq``xAt(_LpOYF=)d{(sW69MnMYvl~C)@i;AjJVg{w|hkuo9Pe@UjjXXKc5nJ!p z#{g#j1jNj90cO6;0`NOIfSC)J0nA(u#CRqFnYINa)2ac4z6C_+8$pDAX1MM7yIqn& zDYp7x+#k9LVLWJG{Zst1n~5MhL~@+DHb3J*E#F9WG~^y zKjs90I#uiUON!4xTElwtw3DpUqg+BXbZZtEhF=sAq-kOS`x()dT0m`R z7YCTWX22TBcOAvDscwLM7veW}zcchBc(mrR}Y|5Ny%rHB6&3J++x^cFEc^l9&f|9#3|POuvu&i^5W zT5EyohqU?d>3>KY0@Uiwi~pfb7~sKU5gP6Y?#mz=kO20n^nXgCPHmYAYn;dGcO=>d z$>2Xw22|Ame!mX1T}JFyRY_C;bk2Xm8J@fEI3wwP_Lcs7+2Pji&}rz8`ohBFzZZ?- ze~AWne*>G}n`wil{}Y>O+W)o9bU3ekqUV3EiYZtBR7Jel{^&s&%lZvKo3d%+mC_mAOkq3aP zU7ylM&H6tBT8S#YFQ|6(9w_Mf&DLuEV@cEe<(K`ijruo$rUl8e0FppVL95em5wB<< zf77@&3=rM3Y!-w8;fDecexA44q5|^hKuy}c#%8jkFCf|f^x1vI->V8gWO=IQ^0di; z`Po7e=V{ti?ZSm*}!W%R`;O>E_-mP=_A|N<~RxIqT2!NrBJI1Qai_NEg z#AojZ=*9%bhI6IKIu2OUb2x>SFsuHJ81oQ$RkT zs3pt+H97mXiJ74m$45pe1oL=E(;x)^N#-2TGdO@seXgVpp&-D}f!&rJr}@w<=Px~= z&H_+oL5y7_7atI96#&(PmK9JvUID7d@EV|cT!B=N)UE_gQkXy)Bal3j11ixEq@DPaB}d|!HHvu-0W_llU+Z*~~%U*GX& zE208*!1c|1+qj^lRX}FVYfh;Ow4t!}^#{+B0jk7L02D78MDbn& z6i)|4@yY;-=Qjl~LmLn?ECrY$*bmWCY}{7(0`<3OdHY}1a{0)+f&GC&oh#1Q^*TU& z$OTEUj({@pi|;YvSpy~epL|c7>TvJ`1h-uqD>cs_%aS<&h59C_`%o@b^C>VxzA8yg zUTn7D#Tva#N^T%r28YGAhwxvi&M(SEW4W6F;`9zsHHrqAZJ$_L1DHMXy`J);h7D9u zbS*qO|BPwC#(%2s@*&=+l=w>-H&v}M{0M1|=j8a1xW9^@0Nee?fM#3zZwB<6feV-9 zpGEo_(5k{Ir8()&(r;e!u-L&*(_Qv zP{YzS`~IbfU$7OEj*;8t1XJSfQSEkwM>4^$pIl2#ih_ykSZ|vtEPa>wT0j!58zAbn8asI6|JsYw@Vu_U+%Sg91Y`yVkjx+q zfS(*l|BC>cu9$q+srRmSR>SsP|3#_(E@%QX@Q;GZm)7r!*l)c^u>Efe*q>sU?oTny zW9f+JX$I6Yf5)hqpOW<9|E=Pet^iAz%13?#NGJhmX{Kh9^Tl9q{;n8#iJ*cMXrYr^ ziASt-fIKZY3dl(eAUP@PQz~gp%;pNE{n(uQPqhJ1hzEbG4a{bqYQQNhAU+r`*ZKk9 zAwvUX>qQ@sPXRTEX9Xlm3xHJRpQ5zFU*he*iqf?|6)|Ex4r=K42Y>+jLrVcPDv%5H zyQ=L0+2XeYzcPNBO6{cwlwGjMAKr7z&8OQ31SLQhN(USU26C7{*7-lUFP7MBsJOdt z|JtBF7*M4BnKgs}m6j3E_yLg*6Og{1x7xzFc_}dev*s@ALPb6JqV_MhrCCe;O@w~n zbGL?Yo>rW?X?{w_RP{d0#|PEr5tIZ56T2_=JQWdyG=5U$1XDKNJU`Vt7RxZ@z;NYK zx6kp-_qLbzlZC6?t3EDhXsvkE!eLv1eI#Vhp4EK7Zhbhs;dW2uDds7%|B@OsoO9Pm zv$aBqPtf3bV+Z z!e#c^6>CDe=T^L)PwsGOC)9qwH?oMy(p64E=Jts>qwvj8X)ESFS2LzQi>DXerpba* z$@o_ED(NV7F~l?p{`H`RSZnlNjFRyb2`1>|?Fbp_>b}KXHz_m|ctTQa{MOTviRbq;)%NKH{tkxVY%bCNHs# zx)^fCC#_bR(42Su7U=hd4|0$($gniaB0Tc_rygV1xA+6!Cxd@{Yg*6me4`nLyz=l? zeqiMEstWgm=hn1N*mF1#(8m1!TqcY*!NFSQ;=d!=ycq0_Rtj2wUS3n87MS)5z?o9RY*P_$&oOyTJ-v`OK>>i90kTWr z=9{)Z8er^GBa`b}HKfs|6LW@_plQGbO#>%>P3-O|XSR#jsd!-vYei1Rup1I%B7-8eQv1J8yk$Pv$W^hDoJa2^{~WJ zqnX~Xz>{a?%4i{bdF`AM(%wWwt$$jcmM7L`-L$H{X_bJSWvNNIT2@zRP_*HolB$EA z_RY!)6?qdWPRvnykz$qli!_tf^EA2Fw({aGvkcpM-Gp#Wstc}FP@@yLI|mGC%9=z} z`j(d6?_-YQ6|Q~jc(CpzQ%vlYdld$@3lS8@)=Ls}5cciISe9&`e$mf$33q$;HuA5r zu;6^z|1lU?>9awf(drU(+nEJLrYXA@MKTQVvaal+Fa5(wlFD$ShEkcx4_cy$s6Oz< zJKDm<;E=>SN)u7d*jbT2#<~%W97dEgAEF>JXYQtHwv#=S;uq?z5bhIpHg5b0PB-r3MjC$p}4CDC?{TK3N1lvBLNK zWQ9+r#*U0uoE#J~<%sr5ip04mQzZrk8pC`nQ%g_!#d}G{ol$iCraYaG@3bq_k2lAU z!Nfo9z`tB^rjh#+JrhGvp4~#Im~pGPlkDRv$tL&Z0p5~<&|*H`r4?#NZ!*_qP?9by zct50n@@WGlebjK7bPw!{Cn3MaKFDWzKaklR9e13D%yC zJI|rd3x-@I8WD|6ky}8DSkB|6$K$^=?`3t4x_6H;pR`Gi#kR5gafY9zwEhhr$7c#fFmp^ zeOo+ahRz^EQA4h!wuPI35Qh^g1yWmmfCH?r6VRTP`+NJ$4H1)%)Ajx%;49lADj$tu zAZCD`L#8P0c;PA`>1IiaBt5*oU)XW^{X!yx)){eJFz7v2q_KUHqJFPVk`a`)`aM@9 z2;j8A3bz<|Olh)5Oc6m<>;~&Dp0$@9Twr7; z`M>cX>Zn~}K-#s!4RT~hYOf~<(p^LbZV&`?)(&*0i#xUW^J8_wuiI?nj97(H;WU!yMOf(PyyuZ&xkh7E$2DwYJ!6{7GP9)mv zloyO2@{gqe8@Z%MsGg=$QHW%NvI!pPJ%pv-f#f!uudjT)^oZxvMXH2i;OT4Adn*j7 z*7sKG6R%krKX}*p%UObEx(LXb>6-H|r+;(KFDLyv{y%h<@OSTnd?oe6`^CvW&Hd%= z0}{lz_qd~vp+WPs_!R}uk{pA3-<3GaXy8~ba1OWTIF)4rv z2PO1RQ+|!0p7f7+^EQF?Wd)s2{e9N|j4eA*{DESt+Cfb^;_D-Gpm&KH|1ztwNRY?d z>c!as&(62iPZE$%b(|0tgrb>W|CL(Ilp?2xEWQ*9FQmmH#I~JCOfUwfFikLUfkc9; zV9lAwhA9Xe16(vP1w1)u|CLk)Nw}lU^8$HnKZf@!g8%X8jQUMNBI0Y5A21*9tzh43 z{}GVihyTaKetoo0;s8n!h~E?1q4yv1@5h9ymH~a|7&W1PzJyzBM@5Z01^F1 zNPtj)H0|G#6y)^3V(L32{{lHsOtFJ<>?f#!VyX<(Vatnu%eh}L{95K$jK#?SOVFJz zC^vzS0yzb=2B@$D-oi9dSGnl5x3~Yec|NqrSmS;WB9A*Y^x45Gw$FI5YHx|1-AuL9 zB`XsD=_fRIlip?5to653(#+_Q=%RXSxmB;ujG`Rx&{d|+q;)b^C*WzNBaJ0=wwFv1 zOA7s-=Zs^+Bz#}mZ0O~qqe;F3o&{djTcSBs(5m{mzXeb<^4*xFUvJu&WjN<6Y2eCz zlGn-aTiyZ5QuO@@EcgF(`cnQ_4Iw0dtcE{3@TXM)`UJsR*-uylE_6aQ{dplU#lJ5E zV6D{xX#FF4{x$-#ju`a6M)2KtL{QM;@U);Mv*q27CG&SjzzDtu{b2&I9z#um&c832 z|85ef!#;74NdQjFk8Phexc=zdp+Xdx4j`11d46E&m%ZZ-35fm|lx0Px!*eEPV`LtW zjj|R>FcUqGJHo?@+63+z)n{4Ux6X%&#vV=(wR5*l5Vr~lBiReNADAVHlN5Q-AFf`V zpRCmRca_l%<*`OfbhIY8>3ivOPexEW5@sJfK=Xxk;vmUfpnDC8h&teAvEcHVdcQ(7 z@#xuUXi5rK$+PZNoAPyM)BHDG-c)s4&x@DDk-N)lXvZ}R_&d2)c?3+H;om*U*!tSt zu*L`8x@aHFI@#{GQX3R|+efjYo%?L4rU_2odRv^!ZxFZ6KA!-?G%kw5*75Tuf9 zI17FcvBpAHV?kHq5jm2>>kPJ2@L6|OldbcTCKxmaq1_Ztt$O4c#i`=rP7sWAH+Y+R>pwrl)ub0tgXc_??yVxQBd2HqrLW@|g3 zC+%4(L~A%qKZ*A zG$g2dO12j_vtM(lU^JfWV>KM2?UP|XM)F<1WoQN)42KK9<^C-7fX?W}*y|wv6h1RV zDysJrWdY&+CQd;R44bE_-F<;uI_jj!!1O4GX@^dqMNQKp_N&KMk*}fmZy`utx4Ic1 z)6QYF6gV;Cmnvhx8z8Al-H_|O8n_*u0q58voyzGy>@Pkrk>WjkN6)>6=grvM64FZM z-hr^Y)9yAQT797qHP7S|jb|Kgi$Qhkls~nD?$cBD4);Ub{$O}m^oI>qmD!~+L1U^8 zVmyQ!gXukX4BgU*fV=DJF(g=~K(?L()e^hdG>eIkp4W}9+VH|dh>-R^L|)S+^sryD zz=iXZxf_<$J#7+t(0ihnAOi;j1s6>%Wu}k)n3?>%WkD&?tDD;K417mvU-@f#XBHlN zXFpJlse8RSVK#_PxQ7Olz*DQ5C2M}>k;5bOYF}B}OVb!vy>Jc|iEG)(I*7nAjDA<; z*p+SYC{DoWYnGw?yuSHf&~lESb6u1EiXK(TWTVn-M8X;Ppm*J-RNOqwU=X3bQD=(s zmVLXOffWZ@%-PE)X8eLQx7FSUlj!);6Qg;~hUpc_ z<2G$>;8L{MbH-{mo!~skl?Q$$6dtT&mPvbh@2E+0^}hul5wasftP;!yfT6M(Fe=Rj zsN}L1v;>f7dO+YhRiV+1&lYN*f8|O)LP&5Zd!R<#__C9znn#qhw2$}7;H2%NZ{k#b z0B!s{ItSuA{60Sh`b}{4@@$IIy^2I8q0I)SWM##jv{GpN$R#p74*cfszS6N6KNld^ zZ!u{Wk3~87?t}zh@Afa0yDL&+h&g|~p`~ehs;(%!vHwl`bLnTb6aUTq)eGWR8ep5BR^cI;4U9?3ibWGp96+-Z^L|1}yoy^~ke!?IlJzg9ZfF1vPY05~xXN}OMp`F}x7Aap?-RCg@e5D4E^)Zxg}4TJti#t83~rwHqA-cx z1W0%=J=Bz3!^MymL><&|gt$8Q9&`E};50Lka7&PFXwTs4{1iD}eUQfGpha4^Bhk-5 z5()x;-)c1ozY8P7pNYQaup_EVVtipTlozqVcIa3zcsreU57WM!L2- zE@BH{9~d^yo>5npXJRM>L@t2ooPdSSMHEh_ztUh8iql1G$KahS^j^VZX!okV!5tx( zfO_DU^zch(*lciO<_82ChZlF}ELRHvwo-Q|M~;*}#2 zfy!y%wCiiKMsMgVUR6-NgD)1E_VC9jGtC4u0l%lF5_|usKS2)Tqo9dqbXeG`DD7vq z=ByI$LsbWA@Z|ww98^}M z#BSoSMr^%Gq=}h{f(Kd-jmzF$$MS(xSvY!>a!BW}Dn4XKSlk1c2C(OJPK;p-Uc{wDy2=fyleq~Ahg`bh%xr@*NZloIm#o~Rr^4>hsw!LqW_lo za%5Sjm^agQ!3dgM4yRFQUeF*jQUrpndU$c9jmtPpL~lgO0D;iAgmfwh%g=L%n1ndu zW<*8vtNIqrWl$c5%1MY+T4m*WVkC>*$5*{Mbe9~!-+yHzhz7x%K=2?ck_c#e!B|EN z8Q!~izaesgYJe^zPHQs*XU4!A3kbys`|QxUGz3MpV2ce?<$@$>iFCog&L}E zg%Oe>Pjt0RqD=WnmntE|9>F&6^EZn}h?$ydMlLd@K}Ky)RhEhv=T&7QCqft{l#bMdfJFEK~dThnLTC81h-)L z+>3GK&3nFf`qBcH26OEThtlBGQkAbLox)dKC$v8?&{Gnv>_bTZj^H` z0+X`I<7R(6M)$OedlQ(btz)DHFfMeiFj?W}R25O?-E>BDS64|~SbV1Qrnp*MY(g=* z&}urHdw5Wf`%R&We2AWaTZY^UbNMl^YNhobzCH1?2-yv$D?UFxdX=(zIXiHS8g2g- zCf;3!Xb71!u0a6TrjMVx%o~x2MEp~3h^x$3(gQ=y(mt_{5{}LzEhWNtNCauVJ+I&# zptx*>?|E_&srjjUV5X5M`baR2_<1_vk`Y2VTf6%5Vmsb!?7xe0S3HZhfblqHL*c|w z2^Dy+8|aC|LUld-S@HwQ2=9V#u8&$YiVC($T*s%foRG`qQZi#iY)1_}Eqcz;T*jdI z1Yjmud=I*<1rckTTAbU$gw=Hf7~Ku1y*RIgzao$+FGC@GI1ivoGV+#~Ogh_0Oz=eW zvp84r9Kh#w?hPsBr;VCr_U7=qgmrV>D9f+O7Njh1oyf&w`bVDqS5skC&^6cFy+rbNg zl-;Rw4vi%|_s{fNDg;xWK_8fAjt=e8d+LI{3PV;kt$OEs5~z+fa8FXAfEnF$#)wK`r#_b~^e>I9suEnYW}p=&P6*7OV;bD0JfU zGRv#;%8HF3!pO;}(cF*46qX-3JUhqYg@5JAjd2QJ0RF~Qd&J`TDb@&P>+0;c7W$0|1z%u#IyWOjjQ*-8xratDtbhtWp{8{ls#39Ux+ z!mSOJwlh#Vg`F}mM)AGaLh9TMz@?Ur>v+$;B2|K&kqeBrt1OQ|iRRvld9+)_>H8I; zy{TH(b%C!}@WIjTDFe%Cn1!4adc;c;kHWoz*BG@xu^S*3Ft6LAb&G;>Embx`i($p& zz}nx}dC^coC~29{3cyj zSq8Ky2}|pZ;FT=-&f|*2ijU!kJ~+L!DG!V79a&xDf-)@^J)$+_mx0trP@}V(B8oFM7JMoL3^ZQ)4U_XWAO3ZxAP$sK3e-0twPA;Gaz{z?Uz2;f!y68HRw=w zc`lHO0>WWYME;XYn1n}Str?F$J(|gS$y>H_^s*;Ie`rRc(vOBpzRdKT8r$()9r_f- zxBi0z>S)xnpryW7@kHh8_P53r)by=6cnvlkm`9|XzCCj>vuovGNCJ;^{9PciS?$oD zWegddABR9TLArNoxYmfnS?Btd#_*M28uy2deq^FeIi=>K34Yp+#Dua7r)6XQ7B0D4 zGde|OVgzC0kx?0%hx2enX3Ho(PpVF?_;XCgaZGQOTMnsI_8l98gcdV{$Q3lF!VEOB ziRX{Y;55|B*ZSW)wgX4RZ$MiJG}(Z{kiI4h){#UEq-~m<2nr{B2d}AvQ!XjZjegK# z-&PQ$?~&fW4e{mMJY0ypKO))t<+nWH@ND&*Mhy<4%-u-o7q8Y6$NtSz>qi0mP& z`z{_K#vj#8*U$XTlf0r0X9Uw~7m&Dgn@Zsi`SC7kFDdrW$jy5S+hBdQ76gq;KQG63 zoej!px|FHEi0Vg8HZ^kb#PBC?hx#P_A$9Wr3*2FaXcv&tlu$VSl1oP#-Kffv~^U zM0gF8T%2LNN}XygA1}P`m+n+$i-x0H-8&i_zittK+kifMoBdT z1Qy{_f>20+(U;rHyeI5W8>kEgerY6@nZ4JEr{Z|t6L~;9@r8@-L_#h z`OEO+`QV~5LGL{2s9T#mH!{VVP-R95nyyyFWkPDXDM4Iz%Z5_I#s^0NW4VTOZ~u&U z6u2Zy7hfSKE8q>~YO50RA~U`3i@|fooeRf_HT?Xo3_sZUr3OoX>ULBIA4&*C)Ln3) z8yHO}aqx4&i?5gLQ*}sZ@Dyp~u6&$g=beP)*EF{|p1eud zZK1Q&a~8I40ZaF!Pramm%TNimu>S4+CB3r=>P`DlOWTtfoL238J)H_&o#1l;j^0r! zR{8x12W}9(^0i*w!ahgPSh_iaqqc)Q023mHZ?)?tCRK}2I!lp}5y2`tbt;*;%CLWl z=LfIsLWR}9^PFIY5e3gwMQaFg0Dq@tt@}n)=}43tiil|?%!#&9cyfO4aK%sv&kqT4 z9V-(#AxgC6ctDVrkfxo7Eh-_%f}5<<3kJ0Si~xfcN;2%$SN{cLTQMYDnuc+T+{TWj zA&#u0`t0CNB9}4lE}QSC+W65e)O2a~YP)>*YNx&NtdHEm#L_T#db4faUp>g2#ps=y zL&sL=>Vjoxc1R%GKkJnHhT|af3Tlh$H;1s#-+THIqvdkuz7l8nLRIsv%&p~&IPy+j z6C9`Dt>o(Tu00AC{oVTl&$<_?PKW4LODWp5{2OC8Z5v+q=l-KEfoXB{0u%;Hwf0e^ zS;zK8r(6)gKNmnAe00V?7E9L5#d^YRxdaL8C}@G*Z-o?CLuN5m)UaeM$Cih1D#vJyt~**v|Kl}dP;Aj27TiB1Qp3FCVN-RHzX z>7JXsMr^Y08AP6L#>2+*L`2jYepxSTI1zb=Qjj$F#Q1&nFn%mg;#N$S`GGz$mc)+z zBJ-sN9(yu8|3j1QpLC4|GW%XM+L=Q!(zGY%itQbPraxokZ2daNZM5RSYk*_p9A z!#gCf>FRu2!MSE|$x6YY9YTsE$6jtL=t0wp5wmc*ipTu2(~q)4nG_TMqhYg14evau z>1_AFW^W1{wT?_(B0pGn`=d0u0ERA?wiqg@6@k#Q*p>*%lZbI9n21tK&k~_AJKlqU zI9q6&CpM1~(7H%7*8?Xi&3)?zT%PTKS7MbR(<}tv;b_#eOZv_ z5zbtie!918O%XOGn6~KJQq34v%ZZ}2+y^;1uqSzI&tydtbURf|EZ-}3&KlW9bXm{C zwB13x%H+^ST)D;ed@SK8g^O%XWRArMU#IJgK4`1nZJ$t^6}4zVrY9!op@o^9UQJ@e zf%ZWT*THWX|M?~Ifa6fsX%-BMUtAtzsr8x%mNIO!a87fQ#n>vT86&>7uHj_u-TLcw zw!P%IAtSp&?b&|dYlx{_H`?T>e=TJ$z z#JK+eYqg?bG8qHIs3tL8zrPK7DTMG#yof}<)taBw6S4z18$Ixlz9Rybpymp+f>{1;!`x(U+Tab7G8 zn=S_%Hj`w>d#p5`5RX*o@Y&V+>edRL252Jm>P#1Ok{>EpQOhKyB{V3NUD6!)=-UmO z$sgMWh8@g|X@43sx!pBNHIQrX#9#iT+MthJ$zgiY|5&a+L+n&H8YeV>yp=fPv?a7f z03TK8cmziaRu_u9k2}$y|EU*s-17n~tUX`(y%!!zZM&q2SrU9jYbLi&LYfZ_4*$g1P23`4Cjq>*!ui+gxgrsA+s2VLkynqw{pv+}%JpTDCzu)}Z-=}HIOCrjD7FRauR!>w$|CU9 zaO7`xq?%epGwJde+rUro-45f1Fo;9 z+tF}q?@^$wnYgnW}AxH5uE*$|VUGSCqCr`_SV*Bn=+5H%5b6na)4Fq)w^gK~HC_+CPj6$^= zmwL6;lbyI$6ufig!f#fn@702mFFJolsgXAE;G*F^z;>1AGA2b+)avHKgG;}4Q~`$6 zHOlKKw;3I(8J{SmI|EtZTH~vATFh6XJM6B*avu^JFhIsVZF8o4-ZS`V^b#Y`8vj8R z?>)%|jQTWr61sJzb=9_@n2!+(%&{MX$UqX}*CQ}Nk`KKU%#zPD$i1_!*htfbE8$o9 zyKf<|;=j}wY_d!OC8>+ z0l#TW{>1;x=3|`K>}MYn#)`q62erHX*Ra!wVQePE1tRQU17>CJ5Hq4i+|R-^o4?Se ziEeL?-H5COZ!e8rdc-PXyEIlkp9?yi!bGHPQT`0mFA*Oo);xN-6|Z0vSH&zps=?j; z7z?>{ZoPWX-5gs(c!h50kb~ z*P{B}uk6;+E3Rr-hI)eNxO;LB^;p5|1lDMq@)>|~)G^yuY1ucLVvq8^or3lg!>Hw& z{=%W@(MxB9La!>;Ia?_6kP2!*)G=3|8R4?t zs3_L3+F+td&n%aCr=9QkEN1=CQh(zV6`T|QL~$Njsh$KKc1QeiK65kwg(DMF>(CPq z+{jY4cL>!7!X4{QmXVywa~=?QhGxFkG^E3nnQIzj0n~?bsX$P};`yf&ku^AX+1}c$Dp=m^(jkM(JUj5%4{hE>Lv!ev;F%pSHrEEx=<{MNrrIp_b6_xOg#YNy> zhA$HtuC5f4nM1FN>k8MGj)T#tM{f;>_Mc#jcw`PzGw7wlAmn0mNh(W_>l-YR_|xc_ zxLOa+I0FCMh%vE`jo_veO`|+k!$26Xi+%Ui0=Si1NxKbQQ$vfTB`eaERqC#!gujtV&21E^Y|J?w+Pt%Lyj8VkOZ-cgK0v}Fr-r{KM z?@mh5C|7T{mUJ!E`%zk>vVFm=A44sOQ{BhlIkpUZE4mg>kkU}RkW=25e~&+xq7Znw zJGs9%(HT3Ym6|XllV3p&eMJ@Bn|%&feI~TYV?VvM#yH@sv?eUcyjn`!r0bejJ_f)`Rul;dR=)TcQ zBk~KonwKrR3PZ2#RP+Kg`s{YgmMWA(RxYT@>?S6=;cVfd=1PjvcQ{C+<%`Bylw`!H zCmu8Qd6M;*MP*`}1~$-s;2+#n%SfpeDpHzJT5BA8t_%L@v*aZSoFZBy+381P1I*Gz zmckue4ek-|2)q4UMhF?g@`G8wnEQ&zNh?2!^x~Rl?b@0-gjt{Fr1jS;aumlcAibll z$7wHbr*q$id9on#UW1{(cG7f#K_PpulInf0p4tEb%jG>aALm_K^Ju~K^)|BRf@;w? zYg7U{!U+czFU_DWOA{qoz2DJ_w6Js7=s6-kQ-Xwar_Cp~0G7hDHl}0s`?#+e>0!-N zo#J43!dMQGkL7x-rA>O>w~8eOqiwM>uFY|8(%^i9K3x@??lpR*a-5tmX1mHleRN%l zrA*as=_pXo2zZQu{q;g(Ntj!y2>+f8`|BgFoV@ksP@=p7;t&(ZyL$W@wG3DN$N6Ty zT6w^~CMk7Yf4$zr-%N#$Mg|xn9I;mo`##H7p;^$<}$zi28Jpto_Z~WP%6a z?@F5ucag}OD+uNXbslbMbslbLBbPY(Q@owyIK6eQkY<{M+APh;u98&ooA2wGBW|TD zNcLDOSnfXoZX!NY$-@;e;1y684>dsdUFQT11k82|rPqtVdm3l3i;;M$6R4T{-Hu#_5ro!_ zIU_DCUaL+pF6FV!1#DTz+A3GiY52@G$oHm2Rj)8<;?=Hv?yj9^aEd%?VjtIhgD5=F zKfe;ZxeY$Q8dth+i1pUlSW>-GP`U>?(A!8?b#0FIHrp^R@(7Q;P`fHsy042(ulj~l z?C~+y+jQel+L z#uE7Uy5-v$1U6~1w$8UR7nF7NkgWycsI5GhD!t>BaOC+xq}x;+fBPlOiAjXFimnAO zN{SHjJu6DXv=g`E!KSB-6r0$*!l7$0ZKY-aSQI_t;dw)FgRHfHxjigKe6F5cmlFy} zOW2BHUZFK&ZuxwKPdEKC@0sFx*qXpNVugsVvtN(f(+rMgu%;cv-Y!{PQg5!NXgc-w zT`EL89Mk6W1rJj5Z7x@RUs9innK_Dr>H5-z$y(e=g2;4h8!f91kyu44Z8Na<)j>Ga z#~ETW>O09D%5ZTJv*J@hlbm&slJ+u-%)_2B2_B9KJQUavGV3*A!P;+=Z2$J^NHf+G2?aSIg6o!7-L z(VV=ft)xdRl^^gl%L6y!8|?Dyf&g!h+UI4G;(>Qbv22ImSCWgJ<( z#0c=**NpVsOfo;sOfEN0_QmHHy&D}zKA~h%BTTIm&+e&GrB#c3?*#uY;{AwMitNf0 z? zPnKMT>^-?^Z{0oF=_0(^p2ro)Q9LPCpwPsA@{M2(bM{@UYs*w^BH2UJVDPrt?XLVr z>t#V`O-a|`j@5$H(5{lxn}vl-90c;Hu2L2xqMC2fv7$xXx#fL0Cu(m5EPAD>a&J44 zS(|DVq76KJ??O_07&V#$7Y~K~h00cX8HM-kX7K2JV$@ZlY|wKd&UvTLpqgsus4y}9 zFSfoisIBJ>x1~_r-QC@#xVw|!!M$j4D- zdB?(qBT@-+-Mo|3tn_%s<|k|8)YY1ul`fTt)ANs0Y_^m)HA9Qdp%z`7!dR^t-JO4^ zc^4*$k?-`2D+IgEEz$06Uhcxyof@u*t6!!7-3#FNiUzP1^+|Ja0N!xIdeuuLa1nQ^ z6)`NjSAKU;^9mTV9qaP82C_i=0XYNe@^-Nx0Q&z89dG?L4fN(uRW_*`*F!GMGi(B; zjp~022gNnLfingbS0HfeI@XBkt@(V85slJOlsBIZvaC|QItV&7u046*-X?NlvO*u! zSf+^MhTdy?AtHc)G%ypbNRg^S>(R{Vq!>M$puw2$X6x~+3uNO_j(6*oP9kLn?;~E~ zxAqX6IMjqQ_A(Y^C!296zz(RVFFg@RQ>C3HZjgy!1S$)}NDoIg(T1hBDbpG)x@!`r zwcpV$B%1pPXWhMVxvorW;7~2e^^PvHaFB7_R;Z3YoVEY2yIMcT-;F=Y+P?Cd8dBkm zOo?yf*0*wTxs%T=K@14}Lac|(Hb6_8Ww6mkmqrti%xRat*uTqkM{1x{8BB_tF$cq= z-yZ)%9@SO$LYx1NWo0vDhweV$RLLO|ckHVc|~5ln*ik+w-fc@H8|>cjD2nudQ_8lNVldS*j26{W#;qwfgU& z7nov!_Ci>D(?(T%CtUY1t;T3gleBk2YkWWXH>!5q9*RP2bH#&ekkQu~r^dlfq8@1r zexfh6^Ee*Bol0xl7o;6m^j^BSmoVJ#B9=Q&7mlk{^7o1-9?{C?+p0+=nIXxJN4eZF z^_C|wfpWJqoUYsntNw2&G5k1H9W#%>XtMV|U{3?c7r>-=uSk2IdS%$Zv95a-*X0|g=!kh7(OF=o1^wNN%=y% z4c~oC3;_mD0F*TIh-;(%~m&n}rDq~$VZMAkHNo?Ok(GK~x zi9$FF^k`D1Z~AXmXaCLWzoIY=#U@PuyY=bV(h1Gv&K9Vd8CN{cWX1IR2#;7 zhcQ`v-3y6!n)l%UGpZ1)tURw~=$VBM&+HszDclAITN|{mg53eec6&t3dG>p5RoShs zMJZ!deqkwUjo0N&l|H*>k>4e5<=?{QGRfMNoh^Jq`~`(S8lw;FHNkpZqP^63p)L3# zAs*Em=J)NDzSL)^e$P!CDb$l(I$$-(hz;@4JGE=7(>Z7jObuxWKwVjbZ~WkQ_b~lb zMo}GkYhb|!BsOHgSX!Y3CH*)zWH6}4PW%?-p1J+_@sAeghXZ`wAq5l?6Q>2#s4Vxq zS-Kb^Nufu6xWUWbHZyU&OMDHKteEIbnfWM^XGytTnDUw-C!bhWBLj17O+)SKxol$U zMp4&BQQvbgAyI8^$rWPt%ACnNm?b7^8MWvNV6a3A=pF%QRry7x@asa%m|M%Lz!0xGWhYz56un<3C2;wskj%2gqonQpLI2TWMBr5T9p3q#g8RMgbMZiaZR-tMN>#HdRk$;3})Gq9SLUf z_H1BT-uWI`1aWFuq^g(l=(q8+&tf^U^P6Xh0k)j&yT|xFu*4Wl^S6a33yZ(9>esyq@ zF)X~1uB{YUKMd(9{JtP&!H$ZHVqUCmmNd!Z1ZoJ9u@M7z3FAXIofu3z^L9nL53oX| z7a~|9N)_N+4g%O5=eIJm6K$p~XIGAD|19}%+uqH*z#L{@a#gq`oqMiUxM>Q~HyVI8 zclied+iA7#cw5pZj+^IqPV82Xue^7xD!TQ!9kpwXs9U+rfjegVTQ)Bs6sZk|y_0Ic zoRp3H2Ji0U##?*?DjRL641KuB`nzev?PXd1(G&rL(?6yG%S5MdJ1kj|#V((=Ne zIlbN0y#!riUV3ycEq2i%iA+*ttv&ukKZvDBuMc<;1IT_#69qPs3Rc1TA?&-6d{4XB z{Ydt!q~=E-l%uLVFc`MexmP{FKdjFs8vK0(XSa@3YK69SjbHEb-dT+@_d@>URG3DG z2)mLy_O$s6PQ^VZcdqMqjiWKi8MJDn5x>P?_FZD=MRE*Wv@A7Z4y8~fe@Ri-rVhWD z7!493Gky!Y*kdmP)ed@4Q5^g&@(U2aJV7QrboxM7LQamlt;akLxqtDIU~@$vnXueLM2e0FN-g=u}AReMRg!1zN zy8#3*MF*^BJDtX0T?$1{wDk(>^C|jw40l~B@fLGZ(V^UUtIf!?myX`XEQd}4RGtym z#i6d5!D?+$>L>@y&hR3Y4C?5^%=7NfX{z$_E`#5aOoU2m1gLb%F|T@Mch1^IgZu$+7wAiaST@(C{1v(S>~EyFD;5}Ve( zWt)^0VjBA?1~_AS0#@!IJwf|Jvl008ny{CLy%GB2Xa`+d>Dq<&{IrmOG?aiim=XFU zj9oW+{&Qk9lCyX;KlDV(FDYIHe}-wUfX4M(o~;!)ZTj}0YUuf6^fb!h0cF^n!BpLa z#;2X6Rd_?$pbsUA{3enXg@iQ(8L*HAA&uqq4kqtp54FLa7NT zzpz)dPR@t&T9QKE?Ai;CtE_VECt{TgCB_?`>L=WEzB8S1l}g{Kg;S$Tt;?!wUkw>9 z-TC_w-3w#?5_sD%AqkVVVKRl8?oO8~&_ALS;3hWJPtqLY7lRieYyrgNq$SXba+qTf zO2jcZWY2aGhm`|meE4;8`iHj#&f{^?!sF4v;GdO;%^K|#v0A-T7XJc?w6spo)-*); ztNg^n&_vg*<_*>_2ScmyKn|2wa_09zaA3oAo(^Eyw0ff0LYOVqb%`o?-2jM&ju3{3 zeEHdQ5*=%zzgPUR$Zcjk`;{s%f!pv|8=hApjspew=d#5P{6aD_&zHih(@ugp4?|G~ z&nEl_dPY-oMN+s_mnsdJ?s25N3Q*0M{_pGyjFQFyek>X8SMQuFh_g|)0j)eKo~UGf+#(d)I3gG==x4$M8! zUPD)~wte9lV^i-DE>bf@+D z@-yhC>DWm^djQ?yL@v4Z>;w_(M#8Xj*o`qOTGfThz=@6r{TvA6ql)zaxCi)e_-iO~ z6IxqVO|$tQ9eeGL9FPg)Nw9f&E*|W#CoOVpv+Nfwq*&;>&XR7R){`-c>8Xc43i!8x zEY|;h_kJi5=Ch(OTa&QCtF+UwxnzRuo05`M?U$R9rso}#!d`ccl%mt?QhsQM(d&{V z{5xWEiHd-$ck98jLF>rLLduy!NO-#uaH_(R{z*Z2_@2U>w1_+})eC(%rHx4uEVXAa zwg86}ylBv#XpT%7wN0N7Ybe;!k_fic9bk$0yZOdDYAJTvB=E`)@!UxI@3PFD}JF z;WX~5Tqm;3*mLKX>%kZ1cM@~K=itc~TYF=V6eG6-B+yy4+xy6TQFo2U{GjKZmBQZW z8V9bRSuqh$?Zf6jnK`XLIiU@|FDKuC)C|^vXmz|Opv^jGe>xd zFUWpT2nUhNgnjlkl5TX_yx98@IN-TtS0$kLGJ2M=MjP5KG%GivzdpSy#gU zf$C*(&VV4rJ`IhjF~KvWnHKJHg-t>?@QW@-BwAVNT4FwKnqxpvN%a_&;~n!zVe5b; zW=Bv@1dEVu)0_jAh+c%#F7(-x+nQI`4A~>CYPczOaZ)Acd=~tNn4Wn&arkQNw0BbVqR)KMa!p*-C1NKy;B-uL)5^t51JGo2c1)RER8BL&UM$NU%A|bj=Es%rwnv zu?KV#`SFMX0&kC>im>P9V6^OMjZYeARwtbaoejU^dd|7fcl@++_8{-swy@es@9kQO)? zx|W??qFzqyUhvb#r@c0=35hn=*V>kmSe^kJU$vppyYJ-8J+ol)rfc77zoe86>pk?c zD#hZ1$U`QwRI{iklQhGxld?1=TNA-lNm4Yg2??RuS2Q+VY#vJqQpId_E`7#kBg96m z%To6-)_ad%#o9?E>ncu665{LnTjX`vU<1SD)s9-d4EayL?9&Zv@UH5p%+CBNFb`mN zui6MEbdj7f+^p@?HznygV(Hpx#d~Fqc1u%EJQl#Wy~D`%%qs7rH*7f9Z|>g5&yVTg zAU?8{NPqP*OsDLDL=o_bSr05J?^a7}*9?j2PS5gk{+oY1_~+GFd8N}B{o?-h+-PxR zSmr(^)daXR)}*M#A27i}y|D)U1<0Pid`O+_T-D}{DhHEG; zSOI&MSAnGhsU=4xR~Wf+*VK@&Iqb4tYbY>5pwa{Zo3OpmgTcFG2fEJYP-U7l5U3Wmk zll)DkqJSh2;b=Cv1$IRZ2xW=Hv;uD%=jCpYSwKb>B2sF|R41j0G7G+6iy37AP{5Y} zWi@py+<6^w^`Q0m|K0L3&l)*~g5U;d5A&17X_ckn?H5ghs0q!TDD(RO7+nt%z$|97 zH(W(x0KsIw(9*-zON;Dard!<>NXfFOcpP0Gy8KHIt47xb$k?Q6lXgwB?!s`mPI~mc z&9-if6-Zp&-s^Q6|LvUG;)Mn3NUupdCf`MO-OjHu^st`lMf##gd!!N1ZPD2@2kk7} z-CeGfMOY)E?6rv9BK;@f_tuu`oh)zMCTN?D4q=#sae6wAJjiFV_BWT{#Avz5L-4>97^my=%m$|Mp3Cd%A1H z;dL8Q6{~=FMO9;$YdMqS^hf@tqU)PXx}pVeWo9`#ymMlML3LBr_d0^~+mj_A*M1KI zcneP$DLT6`uQDubU~)A!X=EXsTDi61l%C|gQeZ8H;09A-bJDCg?)^Lz_sR!Ni$q}O zJ`tA*D2!P1S0FOw0}Rm%ny+UUZ1QFv`IPusGk#@N#O>p!-|r^lkNf^E-sZIxifo-xdq68`&(&Y>uy zil%50?!57?sV=)Vg1yvEote)00CjkR*|qtq@WLo|Xw1&uW&IPdY)4|jEc~b@E41;S zzF~GP+)wY4EfU&ED@uj*;xBc07W|Dc-GHi}m98xq&T1}NK)b!`U4-@Q>1W3ue)jAS z^7aqJHkLmc*4TBFIwW3DVN74qf5L)TDUil%MEmgiIGgu*{AQl27EpIWZ^K5s5{imL zB~+KmXu{JKc4K+i=iN<+PGp_jzB?!;+J#Tbte5G*E70EQ(wuEXB(*e9WUha!8B{1v!!!BFR>G68My^yztW6gz3C{`w3k;FQckEhhewSE@{!7-%)lcD=%rvo2Pr|@QRC>-@rR1Wzhfv!wj_| zcmukp!6#L#`3-j4hPGa0e+FE74X``-5kA+yx)Y`DP^B|@X#~WF;H!I+5p}j$ht<{k~#SWzrNFp`3@E9BW({TJiK#^;DL4~K4 zCM-1Tx0FLM|nE6+aj31S4;%p2{z8E zMLSq?9&5va2}j0HcMgZ&9Brhig()3pZybo+0V{c+m&@^eo>{IF3Ygo=+uKP?Eyzbe z>}s%~F>^hw@@OBmd0Do*nRx^q$5GL@P#gSw2RJ>7|3|ObYtUn{nkLAxI# z4(_U3;KE$u244e1%mI0^ib5Yf4eGD~NS4mXK_OtOn+v^0me%J3H9d$qQ>Dc()I-ye zDjUr@5&0K(h7h8R*D8v!k#QB6WVBe81jCkR4)zG@C*KrKhPL#H90ME@RSxM$U!_D7 zGP${zLm~RcLQ5^GMhINIMrq2yv273lFlbvcnC=y2+Ow?j#NM*noBp^r!em5^!8A0m zO%U)UbjSH~^y^>ZyBuV-*cC{`KcU~OAo|NVjb6pNtUe)^qXc?8GtoMs(<|&1%d<8L zRvI@d1Od%NdRkj(u=W&jMTqHDHZAQ0JIBLQVb$DhU!kzJMs-tX>6_=@!WSP4jT+tv zC6~NGg@z^5g<0D{(+jNGOQxfLl3mOoglYMGk=Elj6gv96Xm;!Llp$%0ACSs#@&goh zP5QiEA7Su>?~UuOcq$(yIX0dotNzaRNea_=Q?BPUVf0|@AyAp(p6h0Ziifpx`X;^Y zjjMm93=jh$^f@qmnT}JYT5U1FxtLQMK?O$8vrWiDWMo`_2tPCwuprL5v%%g}vkx3! zOB&{~{96B_7txlJ6jfd*SjoR7lWL*luYfn~Gn!T$p7-?T=YpaASRNo&6vfOJ=I_#X z#1D&Q7E^M=DzjbWfa&X@*iBdku`N&~1}kp=%vi*?j>*Mq+w~rey7@?6&bb8ekRPrv zn!jb|iBQ^R(g}CKCGtRsWTwfCMb_|?W)DMa_K;7Kc2sz(Ga36P;-vLwdEkg90k^3Z zZyQm^?W28LWYDV=UTB9iqAB9yO+e1KO@g|j8mV2c+VX~fDvb3eH*j{5xITs|UPqE^ zFsM0PrBaD-w6g^qW$yt^d!A^hP0a@niq8-@K&>jk9;&wi;$o3O5PB7QB(WI@K%T?O z?y=nqZbLTF#8S-={?y;c^6grv!vpoO^jqBOsuu*xK2s{}T`m9fTL43ssV5*{2&`t{ z-2>(-g6b?S(-1<7Tl)bX`s0c#5S+o#VI8}ypq0omT7UeA$tCF(^Qg%|EUZ}s&8K_< zh5bu})I5Ha=x$@2>KaRa;zcgM6!IU#BTh0G4f^Z?pN-?b|LbOYm}2je|1{qoN1TMT z`Y_t2?&Lxx=48KgD*dKR%()jcg-vodJ)-8p??|_k$Ie3*L*9_|F;&+)7tA%7^YG{H zvv}n8`E*gTu8ca-tM-^`QCqWnrf+)wn9Zcq{*p;p3TSu7w^*GhIeY?z)Qoqh$pjVq zYCw1gjUzG1#T;hBKOoEfRaXT?MItplPhq&A>cBk=!J}-^nYZLSDo%v)7EeX1P2sFM zGJADyHr6$0CgHGt>FCam6h>lx2Iltpmbks#Q^7oE&hMgZ9_5IG1hnHpeJ=qj7vn?A z^g(sca!GsuFF5(un#%N{-5~nDYrIB-4sT3giVGb1i^F-%X;ktc;T;pdKfjP;54B5B zuIi|ZwcuBJ4`?P;ETP|cB6u!ml40VK&zIV*i9_S~5c#xjSYRxl*ZQZ;q8Gr;g_Zn0 z-y_PEHt&4&J92k}m zH~IH*7>6szXL4i7io=8Q6o{-}iq{XVR7@x4Y2Sj^Rv)n&c>;_cvY~z%H~y9^V$hP) zu3J=-$nqD+bwJEc^wn!Z`RQ?DM;#p$mztB~IosY8qGc|m=S=_OabWP<%8Y0Gcg zQtL+ez^^ROXV;q1*0m0{))#DWZBDVT_A5vM>a{O}t@XU?|Hoi3*VbPPG3QzDSpsTW zT|-ltt5;3-XqhU19RR4$5|7%A;xv`jjsc*r%`K2U3U)l4jL6X$T1oj304J^p_>4W7 zGI#4E(D>sfejlc?8Sn>1GN`HVz)xwM-~7Csj6R|iK@2cEfPw1dbhjz9_b2hg?|jX; z_)g&z3=?2X{;8DOcY_LS6_U?4?lI%64sbDD7!Q$T75XnSJl7K~a}z}Eym{5o&Pp_k z-!YYRg=c4I>|dA54cQbZqwed7^$8JVaE+S(hmoJ@noZZ#^fTB0UiN_V`fEUn4%*5u z_X_Dn9eYfHXll-&3p%Vs{SIQLp>q9YV{{kj_RM?9SWd|=8P zS5cLS{Oen&A)XvXqt2*z6j6CL4h~^?UE1JhWEYxPjHJ*%V|&8jxZx@KmY?2m^m%O> zK`~0MWv_3{@=&W=yrM)18II+kQE|aAR&(19@BOBi1ti3Uw1^ND3NxMWN74T0Z z>xV)(OA=jTMJXSp6~<8CB0U-G8Q>W`pexT~|6FU1jRE4_T|7&=l!zFX9AI!4RDK zsuN)x?PvMO{(Amq(6^a6H(fZk_gz<5hB6rIf!t`Dj**~n2pk6pUu9}`HrVFrn<39f z!a&TTi!TFa`qM)k%9U?WTwEatZAZyy5AFP3UJPsIvv;A&@c{-D@<_j?;YP1+-njF= zdG#LHf5=MM(Fl#ZwPz8+_&z^-vB>y+@smT-S@9K3ZhZr2=W&F+rNmA~m)l!(;}&Rb zuIjef+ucfR3U#*4Kn;6hN;_gXC07qkaKAeU%>7MsXu-)~p@tQ4r7O-&ynR{a73yfvjbHDCKyy|6LAi>2s%k#_7zZN%|u(~Glem8#*^@iIB5 zdnIm9csmQ0VLB3uk?F%|&EMR1j?bhKp4uEHyE5phlWPkO7hz+;u9411i&P*X3CIjk>g@KMu!!DI9H)socc*Qx=1g(RgD$%f$33`(DeM_vK!1~q0RwiNk zOHR#W=}JYAm?dcSJ-qu04RT;C*Jx2xWGzC%DlB7`Kc`@380q=REDs0CoK$~r(J*Z! zAt2=E3&DrN`pl9m`wn9M%JMv8H00+0DqPxEUrX?i(~0A1^ckQiA9*D$a#(18RoJys zW19DxTiPS$-#jtD`Ezcc)q>5-vuxZ&^K~4Qr0~v5xoEt81q6(M#pJEhCSrA|(HlRi?x=k`pi+Z)6^$d=QCzgulfW(Sh%`ExThfQfh&AsO zyd&eN7!XE84KQllia=pCc;s~g@w%OKA0kV$k!!3CtNIMi-uQ3n=0Og)o4iIq!fK(YG}Dt5dMVVu549Sb_(Ahn-olPtX=|4(&L5*f9?HZ zSWY17%Glqq=&VQenIq<(ebG6XZP!}2c~K`mHcXkvwGw28$<^&U9lD;-2QE~!bfDwo zEFahW6-r2%@((!ex!R^X54+};Apl4CFJRB8PJ8_bIG|PQ;#>nAXm>fNf4Aq#I%XbW zIbj~LGzrD+66+4Gkf_%6+D#y3*6pr2nZdk0(ZGF;I9Q(P(UKSXRX4Zw1Y?-Y{-Hap z2Oim?NM>1J5M5#zOOO^YC0o9s)wg{KJ=8d)-{_H#L(io4AszK@FH(?B zeOM`qeQT9ToQm*k(Uno*m||^nDrJuyj{3tWKVF2Udw6 zI75>s_uRAA_>tZFcd6(K7)hWM2djE%jj(gQopXHA>(Dmal_UEB$~Be>6}a*12TjKf zB9c-(Mh$$-D-M90MSaqFS23flI6l&?-gg4-EC4+`c;C*0AqG*A(E72@hq^NVn3!Tx zDA5WPN$e#=_`k1J5p-W?PC`AtfyzvEpHBCO-rJ!T-cg07am`4X($Lc>4oPSF`OQVRgfy`|!DjYzFU4q3!oZda z3Zq9u+w>H>gKQ%8;IfFNT(leDEfvib@|qLww|&TF1qk?i$;p?JITCBw!2BT?z3&-m zx%nS$hA}SaPUz0?1^gy6A6-f=FnB6_&n$n>>D%T#stQ$ z`!U9Dk;w)?4l#4!;aHgb3!i3}v40Z>$kkiPe1cs((cRKHG#4+qcdL!7O}zOris6TS zH2g2^&rr!h9!Ia4j-XTat(4Duo%b5OWw5)GD9E^m6R z%{xc!?vsHuO<&bH!`dA5dN-!=`)e^&FFP`egBF+B|D*_fB4Q(_Jym9q)m{u>-ENPM z`9aq^Dy3DgeF_W}Y(i63bO1Vkl~8UecxT-zA*V5zAFg&b=;`_N>V_`Ms^?4{&k;sfCMe2B0p18&;>(O0)eBKLR6^b{gHgKgg6r!_YQ4$i=AspTe z4fX4&{YwT0>onWzh+e8Q^R16a2wCv9oV1EOp=h&f=FGZ%;T3CGiq-Z1 zN1o~1e%!51`7lc~>$U|r%sMC}nV}=%AYE{Bo^d&;CR8=K71N(UD(KlvWYs`>bW{7Z zh=aNLuwrh^wgj@f{KEHuq*^m*qC$?M>{AAH%`)LLPO@8AuqM9jCbB2cC|w7BQivTg zP@$07O)C@E9D0Xlx&6JeuhP>H>Xy}Xmy|8y1R3~{$IJ&YIkak4Fd$4q;4XYhcw`=5 z`ueOLzaUNZfU5hgYqU}F+9#;|_WvbZLT2gy{C*$CdD?{&D3}ZcF7f&WNEN)7;vG-n zKXtXK!|&nzGiwZ+3eo60$YZ;G_Ya+Vz!N2BxP|bBx`4F2-t0r4Y8n0BR{9Q_L%m;} z3-Uk=w>RB=2Z3yUZ(vEWt{L_}Q(sE^tl=!_^Sl#Wy(T-=`wxcko$sFdtk?0ej-9`F zK6aIIoOi$oXCdWz;)#lNPosOLg$8)wU%W=tGkX1HB$ahIQN zaob97aU+gw-B2UHI#1YpO^QIT)1*SD47D*u-SnCK0s>5bzlmQ03FDa~V6X*=yMa}P zCgRXdb%8dt&pAa`J}HS8lt?GP_Bk2#lP@V5=S>`sKheb=zjeMHnd>G`V2{I~*%XC1 zB{2;MGcupCYM#)SPyqAxn0$Exvh=*!$U~#sZ^#H@Hk_uo3S_FFbY-7nU~i_lhYyW=JvVpZ77TB46PM&=DDSv=;nq>F zPAdc>Pc0jzL4%-6P1>Tg^2(VudD$L4I_mD0x>=hS$I44G<{3Nx*HdaD9;1XDLa}G1 z#5OgQ{5Tr4#81CHTD+E~{RaDU*I5We4{vDA3|Y*gy?d}6$%L!MIB6~!o-3bM*bmYY zcVGG&c6aT!BYOSg`AdG$t~9gR9N>Q_V44P*s^}xIgxU>1AL zl;3z7L9lTb?LDHE(wP73X}Fv4qG!m+#O{K+8t)B1){`%Se*$M;J2)4Pb_abMfjbjq zl99STY=!!<_O#i{)L>g^G=yn*?bl}+35vGd5y3&5QtB5OnGIX`t@4&Ucwzkca1F< zeJMotuX)lVpPwBB%@^hjlFj}2&Auy8)&O?wkJ#B0H8>U164cwANw59O0}#S;QQ610 z(OtqOj1e=_5fv(D2+NYnxdblG``+>#0)%ewLtQG@22-}37>EQguWD>Mc{f9e&{0Q_ z<7v)ka)J zH~QlSxtXb=v;Z2l@G*{5ha{-_cm7M%@40?_-|8@=`5Uz1Oj%QzMSQGmX7%q-uT2%uvq~{+j`!!S;VS~5~tGU(4eRmY<9!lY@0=W z(!nG#ejP>R)m~JI0=!hY>&2(2G7x#zgaG|)b)Qc_R{v%3@eU%+9NtgV`60_Q5|6ET z6suHbv0N4P)!?+1 z_z;o}<|&`gfTc&_hm9Tnzls6mTmnh^so1l64h}ig`{l#oDJ=c^u$Fqf#&4QEcfH@l zlIC1)2TeOj7)&f93(hydNKw$lgHLdwa50nY(-^aZmp^?5Y4_t$be&@=3%W?3YZXsh zPi!$Z3F|?Acv!w{FH>g0fnJ|_k$ZJ96REi_@De)Qij@Z#7x|p2V(bb0q!?%x+-QE5 zKCVX?z+iXM(n9j0z#~Qj^abu55&7vIfmc^SbXSADzdQ+4Nx0D);oeKRvi{q`sT`wX zt9#8sW?D^dOUp-FMf}Fh&DJx{etZ1A!ua)w9Et1RPQ<{&Ni|gN*8j@FK{a%$-uUKX zk)i)P^02D-6M|2VTLJ~);<4$rcnRzvhX=>k%fR*zF=r|aDI|u6f5jw9v*S^3BjYQf zna4hObmu}O!uY3unf}R{qh*YmuE=Jzi=)p|e%Yk4Yth-z83S&lEh08zU#?&f} zLP6Eh8)OReBmc4U(DU(#-?EVa{_(X6^^+E&$R5pze#{X8xvf23LmTqZnFzx4&W_uT0`F>dzmeVPNrqw8${w~tAMicma{ z8RJ4wbqc7vh9yER$D>JJ!J>rFQW&m;1m3${w$w~GUxNp}3OqXG?2XBU012xd8eH2I zGcGYS?&J8zD|UH%KHQm2?sUcuso~`T?=~n;CK-u;1n}gtBg1!l#GwioUGV~Zb-*LaVl#}6}N#8!w>BX$v z-ueu7@Q?bWgCeqIimv1@wNr*MiTU$F1ojeT+#K=L%JC_i7X4#RX%Iv-wwD#Je0pFQ`8gpbXc$Y_(Y<_1 z=Y0$Nhe}bI>g2l7K~Wg)@PwklEqw*cBaRn zLm{$xrbhmIvcO02jsXI5Ec5!J?Z3~io!4g#%qv6{a?f#&J^zN(viqd*^L~h%`v`Bv z*|=+r9C3X88eC!Zt|H>+{awf`V)puBw2?-tDxsl!H^6Zr|oi+;M1fV^D z&nyRPvK}XrW$DoI-|&Essgn;+Y>AL4+sU20Y3523mf<~@f;2*#AlD+_jKWZ zOyvJZj%bwIIGh#!hg>_*@IxY82K?LPaDZlTxj{&voAJv{k~EB=&~Y3=2@((dkdJa4D5?{lfO`NY)mLPSS- zre}vZ+IJKbGS0z+OZ94^DPQ^U=qiRwW6yLuJ{ zJDPoQCvCVF=4bYkZ^WUE*@|o{v+ncLJN5cz;jVCPgQA3y!)K)l~8p!6o*z520NuJF45FmoD)i zKjtO`vgj9Br@6ch`Xxy7m>ukb5OJ=Z13u3Dh)3RE78I8KrJ%gL@dBgym$t;fzqtZI z{tY9D2OSHrwu^PoToqhdS8M%D^;~Pv7nWIc#kedP&@f|SJcGcwaU7neAD5b*6!}G>P$A# z?L5$p>Vz8>JvZh(u5v)$@f2PV82@1-LFvOl%E4b(0mN>(O>ZWcBT&HSn6BmTA|XVkyn$&Ht~sy` zCT_0pxp@1dnTGTDqd{XTfz4yz=Jy-F2kywnz)SN*@vAYK1V|%%u@mlCB$;c>vZp*4 zr0WoU9Q8`+S8&92DV~~b7PyEA6?aAX7cqc8DPxA2a5%`1CY;cW*6M0msFfu&ICjr5 zkpoxDS6Z=Lj5BO3iLgzp?=zmpWv@1OnAm|RC*`{cksz5}{O(7ApviDkJHbgq&*SU=tSGH~qN8%-v9m@m6oIJN(Ea1`i?@&~9QFzSU^ zJ$xeR<;y{bO~)X_N+Vl1pHGc;0Naix)+;lO>p{%t9g~L8zFSH$iDvw-aAK4=*mklW zr0VA!N%b!xgBoApEQrt3E$2pzP38|QG?7YZ9lv~hE^a*A{|G}x*ubirH&4*b>i4S( z-gq{@PMgzF3tHtxxMcl)Liy%rmDqlmiD?h68)R;X!pOu0c)XGI1`_zJJSUQcBSQ~A z;u<^R^#OhGf}wCgYHNvzYnCx6MxmG~+@=?$o{J*__;cz9{*P9nUb$&{2kqUDXC&C@ zzeJbW$l63NKde+`dk3e9WZ?W9^1aC@VxKA2b+iEn9-$yUZw{klyRCNfxqSn?84os^ z@AHrL_Arf;q!F_!W``6_JF=2}0(UVgA1%n!7haIwkuaflopCr`WjV`K+C zz;oTyS8WhAh6H)zp2D?{RdJ$C6Q@pTvv%REb&+x2vk!hCYQb)y>uP03NmqvrGg3lO z)iB_4owyh#fiQ3$hn+S!mrlT2I}F=nYdifhtnmZ(^68aaggV7aKFUHicOY2>C1LMu zxrpj{DQ&^$!ymWyRm{P{qEO*Fn>RSco8_lg*%(qOB?618lkB3o#v;RK_mot;I9BvJ z%#3aCB?uD>A5*jnuIi2#4imx5uBG^WgeYuw<)>6anVODxvRD#U;*8=O=N_)TfqJHJO@E0@qoiZpTUHO4GbE45#+Ley*Xs zQqRxa)*-PGCX%m)DcA>asF9URisx22a$=3v6z;Q0#mK=1$b6_6{#t@61SK=j8~;+n zsA;gc@dRYl9H6ue3L=okkejs=IEUjTua;D|NCg*{8<&M{g36!feI)V@?vCC2vZYAX zwQF&OA6=`Bc$W#R`a&)TBwyE_PP-Lwhc?^i?D;0Tk*>&Ysw!T?xF~(akiC^{mv&jY z&n_e&hV*$ptxDK8btrB8uh$xMSBG8dP#jdO!iMgWebgfo3rrmXS=Zl(0cZ9Map@Ds zfZr171;vBe`*hxEI5#9#cw*h=H}368;Gf_0hypvHkq^uj-S^v*g8clVB1$`plMRzV zoCWXY-z=qrKlyQ!$9~iOe(wWAMJ}kz0Ss2t9W@w~-9a~iqyYlvz;Ol;Tjuw1uvqJL zBS6$-?-lS?nF6Z2-l!IqyI7ksb$Wh@157SsYbi+({sv+k@GI+?5vM|m8pV<^M;&vu zu9S{ti~^M3A48SUEbjVBs{YB*&HKrs&cE4dPSCHYpViXOlbEB)19Siejbl(()OE49;54MzN+bj&R!p%>W)j}N+*SVdzUbGO@ z)tUbKfo`)?b>OF$EJ?^1Q)FB)MKE0Mg5(?42-56taqNnx{s)|edxhAeWl6ZZ%MrSa zXLwxEvdNyhA+@o^^jpS43WsdYj|=Ij0UH(;Q6ckIiK`}k#jip-FtQ<`!fgb>eQyD5 zm=5RqUpRJ)*dTq0w4iAx&AR=U1sH@jDV>ntLl`Zq;1V>xLO)JKS~4}Je0#lEwtI@m z)P&AGU>Al`5K=^y^WKMee}&r+Ri`Xo88Xsldqk5-Fa@?Gp8DSw?=7i{qIP6 zHDUIF702`cnySg6aZ~oXIA5KTahNwFYFPz2ptd!=(2JJ8gFzkI*sv;B6(Q`0&$pGt zQQ6hD0wo&j!mMN=KF+g|*?iDI3Gbs*P2A8jdxAe0roP~w(!fUK(mb*$O!ut)SQ!}h&G1_rf!K8o{XF5 z6^9H&^5fA5*zXL8Wa^8WApi8Rp_#JVGjK%s0Xyl!QS$RiT+UUClabgV3q#j>XYkWW zu``9(OuhqM-H{~Bm!QY^K2=F6Hia=QA2mzGR+fLs$9f^lMBa0GN~z1-)E?2nA5N<9 zGL%m5Wj+&5YI4p3=e;cVGvvN^UV}CtMt~QI?Z!o$$YxFBu+T`1GFub0 z345^sNPZ7S7no)xe43sUgtj5F4^NTPz$H)Y?2_}x=i-`FRqlV%1d6xcXlN|kGc7qn zW>7)NT%IdprsIjV5E;UPjeaZ{5P^7rOyMz79uXi28w@wLv>{RQX2UktX#7063K@2!Opn+IW}#=j;aug;=KBrFajj#UZr`5m|l6()M2eAfPb@c{Wi` zZK33JGCaVxIQwMI7o^ieLU;k}jlo5JuM&JgewUM!6O%f1d9Y zeKfw(N=yfhwAx0hDwpmR$xg%J^r@GyZNvKUB2_kTw`*ERcwPj%gf_-9pbsjB;S z4OeWj*1SlSd&7>a4I3e)BccSrsSbv!%3@-+ao9~==erV~J?G?B1Y$J4S~E8|u-3d| zG?1s*5z+tXHW>k*Q*WUH+2Cww10sZYtr~JW)yGtEG``%1R`pA={tg@MvRQMb=CW6q zU|xHr`5X}D=eGe*Kv_|1T1QE|aX5N8fg)_PaOuTKfqc+XShfiQ(%K8)J}w)Rtq;J< z^WG;|#kbJJ6iG8gQ8Q^;0VAPd-$`jq)EkR)LXf%onLu^ELlx+PlXu4@QrNuSEq38} zY+;)JKYQN+Z%0+_eQ!(z5)*IE|6gnN%-%D5+PU`ze7~IgJNwR=S$nUw z_Fil4wbw3VroT4gc9-}b91={nL6VXZ5Kn~}`PMDX8-Qpw+Ho$>lLVgbtbzgR4~(hT znLV!H66dPIR zN|X&?OU$)uuL@MB0ub7>1b#6{AsC$l)kv32=36WgjTr&jOrh zGg-#{XM^ieu=$JuFcL5eOfA4?(o>gDXz$p{E=#DIhj79?JevaZ5I>JlYK{rl5|G!vBf5%kafGl*rE>;CM9%ir7g}dG3@C`RyatbBRLM#Oci>^03AJM zx}4K8Drqe(Zhs~aio-Hd?tQsMa_-rZAVRt@X#=fV%yInnQ7zk zX54e-=7X?Jmhs@v!idYw0`MV8Rj`OB8*zCacUxCsb`a^cN@Gx-S(orqkFv0yohVDX z+8AZoIr`e?j`03~ZI>Z+n~C|YW=a>Qr(Hrm67|+yF=n{XkK?aM(%C_>U+abrv-67< zjC3mmwVM#!U$H3%W={{yWLS(0oMfKbG=== zmq7JF6^)LbyF{OxVSA1Uxs;JhzNxw#?NfDi3l=*8#%T1sSZ)hofJ0yVV1~w2&qk9~ z&}eE;-UVb>u5u}EwFlu!{0hQLC0&J-pJm^CG&Yo!nF+8|^I^QrGqF+dGUNu6J;v+h z9^=6U9pQN}zcXMr&PGa?J5i({T!-D?9b2W0bm4YMk&UB$*XS<|As6(l7h1cKwu63h z0|sb(+}-E6k?cM{Za5$7Y}U{5#C%%YeU7y^!0MT*HO1l`_o6+x>*AbeFZJ*#zmrYS1;BfF~p1XiNkoqsSAGla2g1o|Lc8xwJA3CQ%ki=N(e=%}CWP|HOny6wOcMmw^FAkskh3 z2o}9HuAJAM0GyJbq0pu86u_fUPc$Bf0ctA5a3X$>MxG;ZMc-FHdyJ1R$c>63+b9U{ z7Mc=KOaSvl@m%CUM#^W$R@P4>hb)i#IcCakj*L-yi{!G~;|^54<%;oV5Z)vcgtz?!h}HbhWx}=)EFNV#*@at7)tGeGi1_k8Aah^R}H)AAk?&|@<6`Y zm7_3}Is#34IGTo*V+xvQDz4d>oLplRoP#V*Y;hj{C_Ft1Foc>6k>@~WG8>O}O}9^- zjS8m&LwCVyluXTEg&b~oaJO6Y{27GzST!GY2W(x|{8IE~=SPmL5qFS6ABK;43|==% zh)-3cfbjuu#?eo6^W6Amqe;?=8tF4-jfDKP{vW4I%ExY`2PLBsI^f>LMSe*GpITHS)~1AP>0J&7Y8kY;~J}GcCww zco_`ru7RQA;Eg8Tj;n7*5pf*-bwJ5>ax-#HHa}aVNkWU-?D4!d!=kPO|FC-BiO3(f z*%Z_{jRzwd?D>A`s!F5IAsS{Z`~KWBS6Yr(C8oa5s> z$#M*x`6^z{Ygj#v(OS9-HpnE=S`NoJrN(z8CbMrK(O^n8&n^Y%id;;MCR?dU(Z8Rk z=(EN?xpd647f(R`SkV~;nJ7xm@Fta-B4f5eb6%Xv&)*b2syvmR&Y`{nllLb>w9+4N zwlnS-MGwNQLRGO@&eh|Nt&|yYA?KhSHl?xQH^UK{n9#)HfeMBLQbk~Ofp8~o%J!rs zxL`NM*a^S(itxlvJe{%=^_C4Wwq@SgtV@T|Ycn}z%(*IRdVF*mW8@r`Yxs%PPVMI! zL+U!$4r;_%7vXXt14k@esKUh#x{!gPEPJGqu=L9mOWk0;b<^jI^{z%QM}6T5zmq^2 zBNCj9nS}eCLyuSCP(6C?P#JYDS01v45&;8ukC87@jmDWJ(r)!V_tp^k7qHyGOIMz& zafFr31;7MFBVBDh+J{hyAtXsi#rU$wr2*Xi!+!G$uM@LDxh>F*N?PrP>KF7nk~JU8B&1yRKM&<62~8 z0*21H`f*%WP7_yFQwn#kRa5dxTn8UvJmp~IHHYFI&0w*qgK%m37E0PPqG~Bzscx{e z6z&K67M{T#Wu~G4(uB-xhtH^O%qy96V0lOf-SO2JOm39&EmzRYt5X=x&sH3_JEJfI zMdy@|elfO}H4!OIYF5$VjB-ixKX4a z{9`&t5dPV|6=|g@q#GKZtVwgJGxorS@!FSDJggZLmlW^G+Gw)T6G2eDxY1D=-|vAT@@n;{lHkGN%lAi z#C^1B)^8Nn8AuGmVX6c98eYZk%<6!$Ua&=Nx1<78)wybjyQ^3MRQSXZ;iMVm-@Fu- zjwW9Ws~SXIqi!$&s^*W3S%!^Kfi?o-Zut}PDyeFYnhs`^s0#i|RE1suzl^HTlm_9hlF4UV-Y(D8tPTYf zl%Th5i}tStw&gX7mtw)4O&otS)Szd&Gc;iwZ@Lh=4~-_2eehVA3608dYY8om1}uzi zL6Kz1^gC&L)PcFX2F;O%)gFVsh&ALiRue6X4CR5waS<46qA1}%qQYva#_jG+&R*; z1*Lneh~pSZkCXH$Nsp0qs-)v3oh0cfNvBKd9Jn$UQ|+Ere3TDBk9ozuo7GdJQ~3C@Pn`d@ZkVvr&kGh9Dr4cE0p{O z0Mo=&D*0FdvxBV!JRZPY2~i1n5`fkASD<++fY~l?$$Dop?l+pWsp=chAhMdxC+&T_ z6A$o)OG)>KWT0zVhaY~othF)5O!VXKyhMRduv}@F4jLHRX84V(`8nIli3$cYbzPK( zu{rYQ_?&CUxHFwz1lOE#)f8u8xt=WL6PS1qJ0+Jad+gC@vSw`0U?wUhJGkJQlo9C1 zxHz?AE2Bs4Ly^ou++-fcEA#PPh`@+F##GT3nh)!-SRWD& ztG)HG3!K^S@4^eNrPVrt(wW-y+upxJL}E&d?WB5>>cPA5foRAZgnI&Ye-xMTN$pCz z9vFl0Mu4gqMZRtjHY9gh_Uk13I{?de)PCOSdrp8Qtlam!8|8qGvc5;aOoNv7Jq~bj zo#NON5@1R$>w6sFvcAUwF6(<7;Ih8Q0WRx%9N@CP#{n+udmP}N^gWSH#o|kqz?UVa z(^$vk{~Ex!rcsR#`aZN{#`xI!oNsy6(6sm?Jy2CC82Z4ibiwefp^09g=u66`u{ z`$_C3I3-~jb=S&H^3!aO*YjyMW>pMk5!nWiWN$(371+(!3PH3ML3mQ1+RgPTyO|sS zXGYC~Kx&SOA!&ssCJ4VRj52h=*1_qv_#NwcnFVox%PfckTxLNW;4%y10GC-12e{0F zIKX8V!~rg|AP#Vu1#y6T&4LVQ<9cZ0d>JK8{^wbcQ6eD)76flMgcO;ARIVPOTt#Y5 zMVpOnCa#iC_ZOQec@SPC!kVw@bXoWnRTnp?z)Bh53hI8Kkf!QBwNKT3RjImbkj(2* zkhBtYZ$FhFiMsd0@5<`#0GFw|16-!=4se;eJHTb??f{pmy8~RN?hbI7x;wyS>h1vd zqPn;BYen4;6$x31)EN)ioqUeEBMd1D+l9PTM2@be`AbXs-lDOOQCaABJMp&_b>{}y z=ywo44*ZzF6`FE~M2850s_Pff_(Y%bA(4T!hdGI^C4qyVV=OaZ+rI)lwMuF!ZnYjb zV3;7ift@eB z@GlYx*J8kq3C(j+3m2&_1V(N|;KHIAz;)o0xOff5K* z6#;!(GRbLH?qOesYcA(8D+z$X2coBIDcOytN(85zvXdb10s{NhEJ3(8A{$mi@@Ek4 zBk4{8%wT;G9wgN6g6l@JAL}Iu$IM~s+`1rp>%#1<&DmSdVg)V!qBK;aN$P0;l35Ad zkl-G)*bFM)4~6y2N{|_T8OI<337#`ZfRHML7mTE5CE^m30KO;UT$cH|ku01c*cLK> z4_2h*%+m+=AamhtgYwKMyNXEkGKF};;5}%960-9{^z%j~nXxfQ+U8hEr%5_V(g~6t zFX;?PouJ5(u(*XTOkz-ja2)c3-sA931M-S49X5}^CdOb&Lk`&F7)%NFf*F~802M}m z5PFVmX0j-8uLQLLz7N3cP;B5J9Epq>rc9PQkVU(q3gzDpV1^d$@^eeR1;Fe=D*@jO zV15dLgYbm7>a=CG>XEf$q< z`O5}a`BmtM@)mTA!om~%5`vpt*eCInxMgj}qQqrlym@Ba8YyEAesl9O_wAgBr<)Ov zUXLkon)YMygb{Kc&A}za?EDy8=N<^%)1Yf)d~9`sEo>&5$tuz2W!LY6+?;{jm;?F0 z0=ZPg5Fp;tIK7M2ssckvNqiED!hmh>Mylt!1mRG09H{!mxYfE(l3g&xCBp^N7n?vE zuucb7E=!>Q!L49CM?lx-o0VhSP{y^yTo%RWe9CDHuGZ&v1TKBxNf61&m}I!oB#ZW} z1nJ4nN*F&GwZX{+lgc3Wz$X#?LLsJOiUynXD$)2SaKnHj{qN~~;j1sX)cODLK*)Y%n?zLfH{}!V@aEDN%D~*h< z!Ubj9d?};3!@x&HcHoP%_|xO8rWnM_<9kIZea0FiD`UXt@0-J zPSK~e@m~-w5OjsQ{ZWyX>l~3a8eTAgbh}@}DS!O34wvA+#^3Hm=@m3wz>J3bloqES zICKV~lf|cv88S@yWw9HlWWUlDI~QPCKIw^*ig6HHR-JUVW-d5IZB81T3O{5PW-unR zYO_MFi>V4!rYP1y(c@Qzyhy|iBt@LUjm|=vC|AT)l~u&gW$x(Hs)#QVbcG^*r-=CV zLft0>+=ylcL4OuBdIklFYTFX_EtFnC&;`s0qEFB>Wg1{#?-YdJbeIZ4r~EQOcYytZ zZmKI)vcGp~O)Dx+2Dn-Qxz`HMia%E`7WNoL;%}^2B>qM6Z$Mr7N4QU#Bv%U#74lRI z*7brGoGR!Fwcu{if*S!CgrAi3hm!6g=}+Y88AuCe9x=$qW2Jg^FHn6tP#5YJ47||w zHlguhNuQK-Pf33!PtPi*n&-J1s-NjG)ro2*8;pk{sL^5Vx4jG)TOYUhW2xq(>u!uL zc&4<8ryh*uN(?n}PY$DhCa}5D3}rYR(NnuPT+!{}+{&W+sJShi$J^<L-RhaC6O8^-?)LuL#Q*#~P(c@ze3CdzAVdr1IB zdjP5hnt{ihJI>fba@ff{G%;fhRx}x~4}m5(Mllib0)~RkHj0;Ol!E=JRjJ$^U0A8y znwSOf?2OCA<;~K;ZfUdbl)A)wU0)4?{Bd?OnV<&~ZIZwYXE>6^v4ZvkAcrbAjizdc zKeql}L1%9;cH~5+(b{)td+)zl&nj$_nm1NE3BejK7rw%-m z6&HFCB#cp|mgH3x79V*s8yRHna%V+h0hgo;KqMi1YfJXlrRiH}k05FfuChHFWOFkO zU$ek7wl?K6aao0;c*a(RqOM366@*u&Z#jgr`6?57RfVD|5_(O#s35#Heaq4WedA${ z#suM8OR^RS>DxV7jRf%!rVw3cT**(d8 z?V&v&`}&?#*qeKj`Tm|{zO^Tr@9c?e|Gp=gubB*9wE9q!S7fE`7qzfcHPtq}x9xv(Hl1`I!g`_hiT_x!yl5Uao z^++2{4t!)e1;Ygx6ts0jU(ORKfg=R`P)U^#MQ9VQRi%}2tUxL`T5Vb}Q{=vqrbKCF zX!XpNr^>WegR-bhYa?hipDB>as5XdJ`7*h$Oe?!u&06v6<*8PuR zue>NmrC+f)%kf5HZpAY@;NPP;5OhFn55zxRj`vz2EWHLoz|073ZwyR0XfrKR2)rkN z*^rfhcLy*(g}_*T7gMWMmV=%4c9Iy>Bn6_FD*rpgvn>7dQ{1{Bz zonS%uH_XnIZQ|voJAOG3*2!wNtZADLF;xS0S8H?XYPbvzZO9clkR6pFuaFL{nA4jozvXVvtr?_7AwH(+yK%}g@#AO{}v#2GfetEmeRJj7SmMRL~7%&XA^H=1P8UK>ez z3f4v;~_RMV44^hIKd+)F!6;` zTtK5GnKEd(`ECC@`jG?Lo_htBgazS+v6<73O|4-k;G9L1WJTFYris&S`F_Bp=1Mo< zR&URha-xu-eFnWJA$*$5Qkj`#Ym({Nq;bFDkLvMwr1Co?K4U3>P++pP!7({7k&CKZXNod&}vU_UO9`}j^cHClRty-Es~;J#kC%1s>q%d=k#D6T!!CQ1TpF9rVBlQeM)ds7Iy0 zpz&}*;ku(J$*hH?L*a!xipnw@?4Qvh9fnc!p9#f0T5aq<@z5 z1xX$Me*!Am9GsM zbFDb<%Z^S@x8l}RuC$^_=i$LLJ?XB@x=|?Fp`|6t3Cr+v|S+5 zlXijnqZ0Jgg!b%~59Wtp*sf9J_T<5$G`dl78|?vEp%t`cB?vrM55QL{m`ag2#IVlfIrck4C5&osE-67{Q9+EoJ6&32|rVO#q$@EG#Zm2ybNS|Y*#W&v_7bpstJ7yt2dMSJ8m)0!#*$m=s5{^jnv{+D+4U zxVf9&UUr^`JCZtRZ9 z5!l3ITS=dR&IK-WK%A0&4oDEr7qhVhRiF-(ljWb!v*-pRjiz+8IF=v0^^Z3mc=}U_ z6D6t#{i)AU3&Op`c04O~WHHhryKLOu$S#AP0^4DLT@5cYkuy;bEOY_|EpH=cC!RT4 z>yN`bXC?Z)0$W(G2k)_g@fqO+*zD9PIDzOf)QzXFWslFVJ6^cDh}T5i13Q~Cr`c02i z`uaS)=DeCz3Yd|~GC^~I{epIT4+IScqsl36v@gka#)6GoLwiSR+S{snLOgBJxUuwy zNU1Y0@rg;+Fb#^bdUnGvts?@DR1*OKt11ExuwMi|*SZL_SF|;iMPRow5zxchWicyh;dSGDd_zSG|TZ@FW6G-cN3O=DsbSwxR zPr;{<7+ip+ApEds^HpN8uMz7#P_DPi{kf9fE0B*#S~3Ef2VRVp@yulNDIWnPou+Sk zI~zNgj~>AtExjMadU_7K$D0G*l4?qa%svEaQ*$BofBSMSgfmSAC%*j_bso(kGGN9( zzKm@w!eizQs5*2H~aFEC#2&Fl{)8X@rN- zGeeVgtI=ff)GGIcGU_IT$VlIQqj=2)6QWxZio=h@@K`65;XW|EAOfDhn5^)eu(Hhd zIblJ#O?639XQX{JbjBmY3DrUPYvf8~I0&D|Z&3di{L@JOpQTt0&U1j1AGIdm{Lt}} z>La+B=EZ>~_{C?h>$wp3A+j;%(RmZEe&0rH|= z!ze$Edr^O6;N1QQFJJGo@@YuR%TH=P>YR$0Fu-+sCnbUP&(W+N5TeHx=yBkDA`x#h zsOJd+=BD`{ggo0wXLF$UqU&#`n3(hTeX%E7rg?gfc3L&C61&HH{Gu3vPGEOBRmwNN z?yy$+$-E)_5`b$I$LUVXhP4i`Z?u6;Zc<&(NR3}9B9?>8f4&48x?HQBAudi5s(6r! zd(iX2Qe`WV)*s?#PnpoEg-mI-_8o)SfFg}H13`7yC<7G?M;8j!bK>ohOEokwPen>+ z=t*0S5=W^+>XnQK;iqajO2{G~*ZH~8A1a0}fPU5}25ESwEbB|0QPWNO97()LLnQNN zkD>bPB}tP=0*+V_sm{0mM*C}y6AGrSUN3BFjXp%4qfhU< zo*PoGr`;P%JTK3nWQ>xj1=lD!F~Q<6Uvj3#{+K`Ou+G%zi#1X+FpX)}?i`GRlz8~= z`EU+1XK3)PsmtMPn)d;Zz)Y?l4aoUk9{0ytUj844IbSZ1;+s@?aG)L}p@#{YSBnSX z5VU2&>Y*uCFT&H_cG6u|XUJk7uDsd+cfA`6e>^OcMf1*Fdf+@1gr{QYnlHX4nJn|n zW<61Z)8Tw|GS`CfghYDx$rQKC@*7etujzA^PePS42DlmQE;EA=o}S71c(#|m3zv(o zbU4B{RO)#td~-CH#p#}9V>cCq2!4-WSwW*P=qO@T!cpfA1!yO>C17K zlE5c^hPzS>uj>PbU9IJN$>wG?mCH(&36nHGWQpgcbLk1$B*R>%$!9zXN6i%1anfi} zCMXMB>*;6nKn!6!EIWLDpR+j^+_05-I0a2qs#T8wsWdY@$VXe1XTtCv*(Hl)mkUvO zGA`MrsTz%I9uv<)=Bc$u=CC^|#qLS9+1(6r9D}p@*tPIbd@hJyCFl5Vf_6rt$@Wow zVg!V(qURK`8=+aDp0dr@?eJyYJPn^`&TZ**wj69|n1|<5>2hKo zSVNYnvur~yC#P`oI9-|@zi`e@G1?bK$JIf@=;l~Fm*I-S8nrCjk%ohW(=}jwjs{c8 zFc*!HP3=`E9#kQq#mG5?}&@T*^6@f04*>VlT$xN3A~@>O(~Wy-cG_iTiDHInyx@;#BPL0%- z)OC$ykD^UhDaM_6$`)UWlv>O@G+;DUw7UM*eSeD4zVAg*hO|xtoa`UkH^Q`3I%RV4ATYcQ1ed zuPJmT6iWMrg;G4<_dqo>h2s4-5>fiuEQ*AckpnR^IGcqGG>iP2i~!v~qK2UA_@>9^ zF;#mjRfc}LUJLQ%`y@KyIxoZKwVikV6UsF0vIcd!G#>oMV4~JM%A35vCzLtHiddp*Rm;Ep8wQ4e-@wL+IpXpZ8 zKGR^SNTX2Go_y=Zg{U=(2OXPrs3mRBXo8Mf4R}-2>jCd?c>tLP)PvM2wzdmA8~qVS z?R323R{9sE!L+5GUugzKF%($>5k^CJzU2mp93_I%6vOSOaHa;j0ksT4ufiG#!v9@F z>lP^^83n3g_-Ce5&rJ<>$OIkZIL~V|4>l4-d6aSLzyvT$t3u&(cPd)iVOoH`wmZ;> zQIH;xW$MX5s;3@94fjFKfF9GWjiCH5AIF&32*U@&bi4nx?c7@?79uC2ims z1JjHOm^dqOfv?p9%>jQKMQkrf7&uU$(|)myHb#M=A^|fN{(K0GcBU~ljqXz!IDcfi zK5PuZ_MQRTZpD3fdVC!0pVd3@XAmAKDd()Pj1Uj7>%L-<12il6w1 z7nGut4A-H=++BWUnU|woTh9CdR!g*;dCSNiWG>uOsXXUzi+pr&Ddv50YkMb<-LaKD zs+`g}1r~TdJf|s$@NtHR!Xj^*)3#Dl@=Kc~)jtQ=TF88wl^L^lA|{`f^e#z1Ch5l| zy+hJ_B>jY>_etuk`ZxgX=~?wbG2_>r9r_^hqxJ8_KV9#kh3m451-NTZM@7I7#lT8K zoy8%E^`b@%*mj$s2gM*_81x*P$_d@p9h~fYrx-#qF={$G3}~5d-ZGPqfh%CA$D{Eh z4y8F%ukNI5S` zQD%einh_%oxYe2GVIgcf!te!bY*>vBGJ3DEUZ#aje!|La>XM9rW}~(#R-p2v3ucm zY~?9v+Zl-Wo1sX|Fe5{pcA^k4>c9ym4&cZz!zkLm=2Z-2aTK2OXxgDGqiY=<|lTZQX=v7CkD(JLh8trFniQ`)LT@w`Q z#2}v!6F6YoM{v)MFa_b&xNr3z{27GTNUCo3FK3C_H=_Vfg{Ac}_VMXggPVQvk0S>5 zQQfdcX|aysU$5|Hq_Rw0_T{lO#Bdz)1>sLYi4`z1PJA}lVFpFC9vrwj!=hmkaciuW zm>_0m6)^iF_LiwTDkM?yi%#)Gam`gB|=*5NSsll8%EOrkm3Mf{(jH z(B=5KFJ%toX+55e1ixcoIrw^PmSLCe?`iBw zICiUDP{eMOv0TdF9a6CYROvwQSZLHp(4wzgWek_^=_FiFU%a{w>c0kmXW}miKOvq<#Jb$86)#=6nTH;VizZ0LO4rg>Zm(O7d`)PV%W_1h-HS)R zsT-d<7HVsCocxJbc}uDtrw)5O$4mV=9rnRah4igPlNj9y4sO8sz+)$34uXU5hllVw zv!jC#`Q<1%6$SX6R7ie6qVSw1&78j-8_)NIl2u=p3$RXG+V%JJ%|W z0b5^fFA}X#jCnAp2}~7E&&)vP)!yO^H*$UN4Y}7a-DUybN}dJJ>izY9E4RdfOri3e7?f zc`BkC9C^tDo?e=SjXSnN={1zZJ#X`o#|+VfkeeLa+B>##wA0Qp8>2?E05qd*8lp{% zIL(Vkoc;gGUU0y+JCFt;T2H}57$}H$*7m9m15kHOrxn@F{9vEL( zH33coC)y^$^WfF?hbpthuWSrGcV=^B( z2h^^GKU1UF@j1mZPQ+Q}USgaquNL2mBe6|&D9mdd9f)<;rxA+bt;xxtjP1PwlExAB zvN}{Ams{x6`V{6h%4A4A53=i}MwyJ^k&d`(7nw)l47lBsdXGX5hd9L6Dc%d~G)SHb zvPd(#!SF;4UC9$1yGSwp(>b^8WQnwFg0)#$r^vj<(Gg3pwY7PZFGs93YB7(x zc`&zg>x)jFd6HFkASTD!P>`W8VFxr}E63~i12%d<4|(Gk&TZKzXNUSll4 z&~{?))g8O*7K(dAo8MBOg2Db_b=W*6w;;}O)e_$WQ4~V}ozTf8&`&PPW&claU>PKi z;r8|L8Mr)W3MS@u`6Gf2CYZ@?`Mv4(dVUtL#5DcFl!cJSIKHazKP79j2%F zo8|cq!-gy_v0RN>3|m?^Qbjy*V-Wir6d$CnEiH$e7Sy{jc07&~dhVxnB% zWClQWA-zMA3AuNHm^Gk#Ex@dHd}Ul(^4Q6rptFy+pDhC8L6_73AI=0T>*C!iQ$fN8 z@qs6TgjmWc!q4&e`7&9vcm!ioDmRnga;Fx^Cq}^F!rFKthmsw_sWO3^bR|hh( zOM}TZhttqtviN#f)N-7b;bhDvs1zf`#OBd(Lwg#Wy2Eng21o#D4mZL;a)ODbOc$BP zCW|9r_9cAH1pR;oppzQOdCV1f!r9DCQKNHCJ;q=rBv+u!^`Mxl#K}2YIhx5e7=x!H z&gg6W*=%#<&1R%ld&@NV%Eo|ZlUm| z;dsj=8BW-;n^2;?HM~Fp4~r^1o2{a58IfThq-%lLq^g!?RAIG~Q6GZ4TI<+kGb>Fn z+hSQI8B;`%TKs(S-l=Bq+yb3vm~!3Or0uFZe6%#&57zj=gDI|a?3IesaC+MeA7OhOPlGz zpgS%yB(nSHgiJ74(dh#;eu4t(tB!J%-IzkN4&LliJ_&4dsX1AXy*?wu23U-bV*94_rMP=N52=wr^*`HFy6!GWq~qp!z+- z4(WO^r3)SUbae3aQt8cb={`4%@Nipo1GdSIaN}GWFCz(zB(5~5Fn+UwaUz|O-ZgL|_(D%_P{H`PnuU{m7s^=3 z1YVr-T{09{!!8b(iNR`_9srk4J1j936t9W~@=mCwI19(w93VJZu(Af-FzLdKOnM%VtW@KTq%t!s(K~`&=G%O;G+sN5KoJY~-@(!y^ z$p$oepWk*U z25uZ(QYGwb&e$X|XfA8kHS8LRS_Sxx@O$aoaBBx^XV}vy_zg7+c8fp(ToN-H{Xp_1 zn>gMX9}>@BFUNOheC2cL`0>0(*5mNc#}vo1oMz%%X~_gfrX%ow1EkBvuO%`aIvxcc zlT%<)V`l>)1MM7|F_h>QM>_f8f6`4lshW%e@oQgKM#l#5qeF@ML0MD_?htqbzDJ`n z$KvWRT=77_H{g4;JR2?WT=YrO7UPTY4lLTd=xP}L^NB4{kpxw#8x4%*5z9~m3nK#7 zPSIy69*TU?3{Jv2sUwkcy)rHM1nk0_ffnVFFQeMF0fNQyi?cj^;(1zT##oBL{Y(`8B(^b9WN0-rR}pp{5$j;yl@9X#?$5s&#X+Wv8v{bLNG6X{ZdaJ?)i{UC<# zI5c)J)3?%i;{(`V;oo7<+)-^8L%@>%qw$;f`CqmAV!-Hc{wF-|gEIULY#UbjkEI?F zVKe^^kFc5lW1{Eg|6$Q{{wG%cCl%&D;dtNtXE}Us{u2+M9}%yo3qn@*fNggob=TAV z48e{#nt)zKVjtN}CCJ5rPZ0iB8Njmw@ZXZUzDFP%RDy826qH1lhCrd~yQ$ST{Y--4 z%iB7%!`Xc?o!ytxP|8FxUkafRjXpM-R9Bu7-y7OT1Hdkf-$pap+Np-&F5Gnu0~Nh@ zFz4z0F(9SB-tW-Z7gJ+jidsV1b+jbE3!<>or{OoN1b5@EtpsowzK9(4Wt7uloDH1~ zXJ!qCA3J3B#gtjgioNnIaRcdraE^!vrs@G5jHZl5tMObaEMUe8k?(q0Z@Ef5`!5_KhrS&Cu}+RY?8gYD`e=bwXekBB8p4Z_{PKoC;E zEw1wAU8T9vWDzv{{OB(ZqWDREQ8yCEjmUBsa<# zV(3sN=-po&7bKAGFOr_*bAM^?W-uhbNJH`qKT^MvQV8k(O0YAZ`zxWE`S{BWsEwH+ zJcp6|>Sy}+VYuM$@e_A#`_5n6Gj965cxD?SoL+DSdB#nm(4W5fiD>UPU;soZ#~28y z&f-3cE59x4oo(@U-|*sYjW_-i>rvjaa|-G|g|rT=kTi9daJWr`FTF%~( z{nBeW)~(a0r>lxhkbF9}cHoDcQDhD=A^<~j9b5l}kEm|klr|5IJPT?NwK8w(VMp4| zL3ji#EH}R~iX%3how=S1$@$TatvpCl9!!ROOv86RWalIz8pbD-C7#Z-2seiF22CG9 zx!`9a9!mWn3IR)aY?7?ogz-dy9KaBH zZ*ySu;n3bk?T(sW^ahz%%4%w@>PvzLih3hv$UPDju-1l3qfpr}b`iE~WPvxKT0p%B zh&kl>*FW%4s*{K_mFXG{%j<5It2eVd&UO0i<#K?-dXB24#z^&C@pk1Vy;B}m&HfW= zsifymqctV{%boxC)!1YxTL&!O5_$Lj^hHboqiB7-Z?<8ZeJ^cg3cCBF18XlOQW4Q2 z+g?8+u}6Cd%(tpo8X5eoslKNgc13n|hM_^HT;)0pdcT+24b{0hq^&dMxB9&1czD0& zJZG<3A(>As{A#n$Di4Qi(^7;vYepzTSneEPj$?@Ro&8cuS5{=0Qo_0H|>}=nd0+9AZnFFgSm}o?mqCa{`-V z*--9#ze9q&VT~SHDje&qjIO>#Qds<`eB{bfn?_Qu@$hJMPVXnV9Ndq|xRVg1TA7Rp z__aDIRYu%i)5^4l*DQ8iuP6zgQ)f;c0AL22P@>;;PDjUj^#@?4Vc|#FNW{(7?PN z8Z{+X;K~>ps~Ze27Q@xZuAXXzt#vKZOg3LtK)E-_6@z`mEzT`5VG1edlxx6*<=Yu6 zF!o6-fl`et~GCz^mK<@LnMCWZd?%w2+pMEY}E$e9w zT}|a?QBJYuQ{Qc`kjdyp7ri?c*>=Q_pf#JM3GW|gw=aI#?hU1u;BR+3+4E;#^1h#? z9dF1y*~`@MVLZxwK^W7zT^zR69R)k46@{AH3}1-xjd7UoZC2Y-&7bOy4%qKgt%_#_ zUXg__bm;Pjgzisy?P$U5d;mXWE-EG+r=fZI2h#%(-?t+vPq_-W!voFW^jyw##_ps; zUy<`i50gU*O=YWuoPT;i(jZ@i0JCBin2ajAA=VRPk@-;{`8#OX7>Om}ccKH&Gl5;2 zbLmu_@^gGtooJ=HZ}A_yrD|_=Ke(5`E^0tuk-2KAm+#WuAqz}5w0`kF1{GzNiYebq z3xtyIKtMs3<7~=zB9&(MUy@g9VFI)!M&A%#vnO5fq(i0J+6%m-+p-JbrP7EeiO(fX z+S}o!HDU_%USg~TPHR6Znyiur<-SxzQ6h`l!!Zl|SqQ&TCksxk=eVLK{o@v5ss{a! zrJI31+j(L*kxhT06dw!1HJ{wsVhY75jf9vZ`M13jmb{m8)Cfcq7!W{6-^_|@xjm;< zSN=8TQInZi)mOWR5oWGjoeiD{mfZYyGek7VF%tiPl5n%ws(}jdMMc^dnP{$Rmy<)> zF2&ofk6eV!(GIfR`(W4SXjreDy>}~4Iuj4Wd;&LGR0NukRRXcCurmHgQoy0hJ-{|T zisc2Liu~mYOjiWEtrJ-1OM6wAsxt>sJ_~<$;cEu`!94Z#zb>ljGl<6b#1VEpf9uK-A zYN0e7)qM=SN^g{6NL|cub1pFoyRNgD75EhMo)-Mb9R#81Lm#PBWUgahSmW!o zeKLMRZEg1CZ>D+?hTLbeD*9$oT!N$3d-1!o?$2x@bKyV*pbNVSe-^n=kqoc=-0@3K zGpgoBbHp$4c*B$y91(7Bw&NU0t=esyj|jSyO;zRIA^qK>!kPXb{&w<(Jg4KX((Om0 zE8#5r?mthsXXdX=^4|Ef?=tb zfQcNJvh1!6$8^KGQ8o|djnwP*D8~5W$`b1@tjG$Wubaj!F8}0}ZM1S|$Cqg_q)Ah4pi;QSJE=;s@`}FKl0F zU(7LN(LcYuS`RSkBaxb(Ed8?E%LjU>?yG>x)K1jdcRoxBhCT%9*Ehssl4&38^=3DV z$+4^Vsz-$QymNQSi;BoiKVgf}CdzQv;Vr&n0&0ErXPCzbf;wnk64Bib_J-ON`UGNe zB8{#`m(t3>i*0FP(+`ub61IW$WZ^tv{@yEL(pji)(W@)D$h2E4lm zu@Oq98Qd>gQNgNbaxHT>p3TxP-U`IqivWMn0;GX1yt_W}_C3I#CtJDoWU3On1HAb* z#M_g80+Fo=|MbS&gSP!MR>1#^79eE02r~>~a5AbgWpK3t8N(U!sz(3va z_Gp3=>QJwAPaq7{;h#=;d%#t6HKASs0RCG#@J}ziJzU^~TGT6W;Vp2HbAUhK`PX3A zYbHQu>3ar_tgmJ!5J2BxGJ096dgFBp(Or#t^?C~7mxFo*e+sds2md4n@D~D$B;KCp zCCIKy)GM$KA#ii@0sfaI(B78B+wCV1Tl(-%S-d@uOOS^N#^%HKAG|%J<P(7g4Ww@k8_IvI|^WRuWO-_^?%DJEHtnY<(jsV-UzyIDQebhG|9kiS{4--d+~ z7d%emwhej@8BUCY4SmVsdiQAh1-VE6xn)@})QcqVeCdsnoatAQnoQID^15~3R(=ivVA}BMY$7i z`&C1~E%Nplf{#c6{@n)fPefp$4gaJI7T{aK2Nt^UPvN{hpWyrXcmmsE+lhSFxuMLg2nT=IxnYfc%)|?GY-4ewzmiuyR9ye|9DG+ZMpz z4_t>ISV11@70W5aXBp~MHCPm(UO9k^gYCu*oDosg6+WpESgcTw!lU6QCZ>B5`C1Jcgw%5gBv-10`XW4%@6MP@l%LPHF)=bygls; zkR)30?n=blU^^qxgLjuA-qt^bKm!}CE;wxoag;HJ2Z*6JHh2u zzeF*iV5)3SEtB!%f%4xUPzMK;IX9}h347K7L*{z1+l0@giFLkFWDpLU5!{mKzCY)D zF?m~cs+^ znT5HKEX#|gIQ}r7OZLo}mZ2pv}Fls+Br$`Fo%Y!^5~0%I$!4#TL?x zV&xDNTLs(M+`6{o?g=gA?)8cYw_HlAfmAkC^ei*TQsxCRphNGXTb%Ih!0BC}RS3Bh zDN>qf@?MyHaxohDNAmOb!Xwk|pZZ@KhmT~3mO{B9J~)Y890>1AI>WryFQ5(%Nb~E$9Et-RlG`gg*sP3Dkl@t7 z;-97wyPhY zNf{4!W8PhK`ROT;fmoVOd-Fqj4uh_gkF?wHt4F|yBK(bl3+LWd6-C#*2i+!q?;}NY zuWn&%-{Out^c?#e2_&0NOtH6@3SLz^1Q#mZmUO3@(=Ez^TCG;Dqmd)t_X}j;2?f2K zPPot?uPRKTh_4!KZ`w*Bg8crL1A?yzMLHLXi7te5A}nTG*|&1ze|k!GQN?Odsclg{ zrh=bWj1jcZEb~aTi28H5(ytF>EqILy7abiOpzRwHPiQ*r3pfN$;N8MLZ8-n6HJM&- zeEaw%P(zwQXW<4cP!LLKT+o1zyO2Ekou=5voFw`>3A`( zlFlsv%*>HpD{h;867o$gMb@qAtgycI6#4=YdJA^E-`Lkt`z}+4pSE;@$q+)xR#nic zXbUat#L+;|DT?KHXRBhKMOt@nJ?KH7m2(^of&%E71)VXqM;>)}M=Py@0(}B|mQk$4 zwzKnRu%52R-vowr@A%JW#3C57i45|-V=*xb{jO=i)I{#<71;Mo1M7tY>s5IfqrU_D z2fd0sE zUrtLU9M3?jIJnDbA2;$$FU^#Txv}^4x^=kRG|bH!5Fc{YbM?p^gD;%;48yNWj^sbSSz7OXj0R zjoYEHU`jwnI&|xC9Oq^Bc)%MB;do^sKX11#fzxY-OAEr-h3(^C31EI9yR@qdDySZI zC@H!lEyFhP4|f3GUY-~hct?{@fw#fdOI$(z!#UF>rMURi*f|O&P}yZiGNohF0G)9O3!sdPS9_esm*Z0+Vx4PTIWUM!k zX_0QbuRz&~9-3jsdN+2VFdo0)Mzw+>yTeLsG^27-o zuikQxxt@E(tyBr1Ik^-?4yxcd_>g1;ym5_GRUdv{0?s`eo3->J==Ci$;n)?2k}Sz? z+ji6wzk$^LOn?h^Y|)#SK^G6Pmsf%}c+cto@IahG>4AQr?KvISoUe8mFggRBfczr~C z6e{ocar=HjQDbo6D-1pz$-8c-_CMKK+-(u;$OWxKbt|(zOPSNL+>5hZW zg5vtN+zRJw?(~m2SA8^jUnjd5GCRE;5=eq|kagx))9Bf-?K#4R#nBA^2w%dS(koMc z79WH$D^+)(_g04yD2A$Csq)@s8>75;qr4gmR-(MKrN$s#xf>KMt9UBZ96kH8%+!~J z-ep+LVm&|!WC|4gqm0b@1V6qSk8xS4xB{OW$afhaF;5HaySFy*Q?8Os5`SlA9uO1H z+3?^MN|F}5nTF@XQIiI-N&5O_ybw33`;H)q2`ugjlDM?BK4vYom}_h9i2O-si`^^- zStLq}?&0qUaHF?MDKFP8wW?~}2^K81I4#OLz8rHfYHD|!Em>-9ni#L-PlE{(#vXud zfmj%?(v%;he8MXe&SA1qQt=5uO@|-ZQ+~xdv1`olHY=Hp z+wtA!Hl=osORKV?8oz)Neh0qt)mtPwpqs19P-)LpIr#cT;dcqs-{1n1vc;zxx#%sb z#T7)P3H@^#ai=0Lc3__|*m6}Tb6*eBpl;!IP zWG9#YV@U$t0#icC_IGf>(4tsP4EPy$VG5E(t>dt zzvD91htf2B836L$T1Vr;JSWw4C}8i6l+*n<6_Gxt1aJ)JSw510T^8w+Csm1 ze4hZIX_c=N~4r2_%G>5ax72#1ZKmB>~}9_ z+FCtTw#6LE1WZolJ(`7|DF=lM=~}oFqr7>sn7I;99)2A;<0TwxNAq$emf!4~%Bsj8 z-J@-fp^nWz<4x;euRpcA;U233PYZ+RHDx~^muB}D4HUtjqsy}P#PTGUKFu-IXr`{j z(L$Nh&VjeNYD>i#uUkXLMIo_QVCE~Y2R8$F6a6)>o-JFW zGpZlA9(>vJX~}G$ynl_I!qfk1MVoL1R3O7arq$HMf-4I#*92a+7baZTb~@jVI2b{? zTD&h`kOeUN$3=Ypn1_X!|I9XC>F;ANo32?mGbWg~)Z(_|IA?E~uIcFOb5hGT_9zTF zND=IJ3JN)R+U(uskyeysvk9Q#)hde-J!A8mWTWBcY>M)#0(g|pv5)6cg=c6)fz~M2 zuyI}IBrp{(I2hY?wGJN%l8BfXBR!Fk-x0il1|>qisf6u-BL0MeMuRpbiJC_pZ*l>r z8*q$D4(*>`gW(}45gg*>W{HY0hUT{o(QRftnMwwC>>@`)4A2^?p63Xi{SwI3QuLWp z1S(E_Do;ZzPN%g%+09iRdS!He0(vUW0wSVO5wcqWd7$p*3nA-Xzft;77JasZx0S%p z^bj}y!N@LWiE*L6woeq~EQcXB^M~fD>^jE{D}8v(+eP;07reiZ#kdp9OYh&7?k|?^ z4VLbGm%bainvT7-svlNYp8l&m719Rz)mLp<>vx0Nx>ni*d-}Z60iOz|X_r#$cNumY zTd)^Jt)J4TAI6xt3ootHZPnFGbFVLbUpqgFV7GoHK6m5VLsvHKMTULZ{D%AXKeb@t zzWFY_Lsy*YSKiR*fQWG?zzwiE^~@clFpM>`daa@|6{@MaKad`4?;4V-eyq9rgZuWS z{Oz~KbFuDYZ0&`R>A^e8=?mV{y+h?GUd5@pM&@aGM?zD!Hv5$}@+CN>S_@=YU)61{ z-#y#b)zb$E1-z4-z7U?ajgNVy0X|tzJ^AejlSCuTb+>S%|Fr#{jbY)_^5ua##}xja z;rFKx)>tWNbiOgoX*t{(ojCY44`VWvSUTT>+4sip&sS*N;H@n2JSRvbl1^iH-SmqT ziof4uzZ5yob^+Du!GRvL2q#~aee<8$FekrJv;Qtb(KTsHnMyd72F3{6Ko+1SFt`s$ zuxCr$LK=)YCaG$8|FHqG?3H!=v&YC%%9UK{AR!ZRM?>HwJzvGjI(OtQU^sa(3|gI$ zfP_PmLqE*$m<)Yu+qn`@Dn9SeT4HKMp^L)FAVU^~-`~ckL~xTd;LINtAotxTkYBs# zaj4<_CNg71y{^Z`a!8HvN&TY;i<0kmVDcVZH#6xg9cfD}VT(?L@}rp{zf>G-F0{MY zmlWiiCZ{+zWQ0ruMLM1O%X*m=ZL0!`WvdI(zz~5l$^(nfqgRumy)8sS@7hh`Yj-ch ztr+)?)pTM>4J(0)k=eAYGuuMzJL_E`Relm;m==HSt||7wR(*0bn>ps|jlTA!^L`Dt z^b0Vw2X8Ld%oFKwCs}a8U=C&9sL)xY*(yHdx*irEi^IXq;P0VUCdhhs-$E)c5kwGG z5JlD@&&P63uaICemstbNo{tdhLowAw52fr-f*!mR3{+__N0K$JHdH|>Z-s$DM@2Bu zC9l+8j|cMmz7roGA9+j`IV4@G))hjTroR>P#DQHfH~a^h4z#V{?3YqCg3Ja2vB42@ z=t*GK=?m=y_D)-i6IvCV$c>v4c$t^jXczV>p7kq+)nufL&rPVTYD6~Sq!$Dbx*1WL zV6@e}h=sc&5B#6>D-a5*^($@*`_%2vN3*gRJ!X1-H%(-{Xg(yv=>&rt^meTW{~z+^H+C8h`(YLmkjWm z#654zJ?@YYTD9#BVTZB9oI-JiPUybma9%YCXMfq2#l(%!hK;i6pDfCvqeAN5K~?!f8Maf01;8@TUK^cdwDyw`*H8eANJ<8O#;L|m>8AqdgKYf}#KMhH>9Qwh<*;;$Y|4{?cNWN$^3f|=ee z_pI(dR_(_L3V?Hs{ipM-M*H(6XDsd!x!~cG_Df2Ap-&Ukgdu&;7l>Q){8=g-qp3;= z4!n&lU9b~+3lZuc{r~kr_Xj5b_Ed#UiBf4D#9Jm50L3YE%Gu%ptu3`?4JP-*uE5-KW zyF($n%26L%c0(pJWQpHQi|~*=d|d#=H=lgPM#1iGy0p3736_Y_J3HthC4BC3@~=}) zd^nqbydo=YAajARw-hKvfgd0x{4M;J8xOWWF$zr?fCY-Fq9_I7<7Cpx-x;Y*y-6Vl zE6UjXb1cG@S|T$^t9@|z6TJ*m4<|7SY3{Zhj(wi(Re~=0F-?c(-w%Yd#U-)>yIHhd z0y3V)h=nQfJK-P9@l$-=83j6yF>AVv0}j|>Fh7ZZ0nVfyFaqLwFynmb(5rHf`vepS zx0z<_9i4<>ykYfY{bOW#W!uLO1p+T=#$%<{0~Ap`16n5Wx&z~oFP%WN1X8Yj8!9B2 z?=@EX2R|JsdnU>FAx|?Wic3xiROkPZIG8beo{w#gAYG~NMst%jan*hGDEFkuke*oy zCVQizW(~UH+*im5k*HV7xCowccP9)}d2aOin(-b66iM-fmK+Mi4n8GLU^9y67B?>( zr2EFtES@;b(yUCE0A}7t3%k(jX+@w`B8#vhFo*@${)Gl=pxakO1+o%#S6q9;ve|GR z!AfbOJJ~x{Mc`|t1)I+alZfv?BHEN1Fu3?Nb73D8@J2Y{NHHj5!w+aAG?O_nMBFea zC(Ot5Ax!@*V=Jopsee(L&&-u;7TdN=P7(ky6`xAhF`G+|K+!`E2p5i6pn{@PNk%A; z(kGAme+jC1b$iE(VHsOxCs3-ve+f=XWYG=i?6;K(^##T^4}`5-l8sAio*UNNYw)7~`YadzVt@<6xNqDalTeUmStSI&%mRUiH(l^-nf1R=w$ zoQV*8pJn+%5tsLi7E1aQNAw%21Dm+@qO`8tMq*O!g){@)j`Hrq{+fH448Qn7sW#l69k{2Va6nT~~HfhPnukx;9FmY$5FPfKb_wN|ah`hG}BI zPMRcsca=dWY+6j;_Bd!O^1wrr#y2Cnmnc09n;G)0Xr4AmWO=`IC0`xJ0^bKGhlJL*QyjfN0&~n(+S*z&b!7MF$@IZJ8SA+BmcAF2P zB0>rB$rYm9L>&Um(JCuJWHsOnd$?`@HU3`IH(i92@yD0LRnGHoU(?b0_BMx#mhj1& zq2Ve)9+Zls=I{-9o{m*rWAE3L@GtDB74}b1A2Pa9a)@!L{ru9e;9U!+C`EK?z#)c@ z4zjOx-IhCQ?^Y~l=@MqYk5ECsDt%$RA?PoD2pq#TCAg~sF#Sd-q3GDxF{I*mVua`Z z@FAeV##@_~Mt5QU>u;J0@~C6hp0s&DqTphwM34Ps_^9&2 zJx@l{<0+NH*kY}y`O}?7G_?W!+ zMNm2x+r~m>6`TX;60&ZceAoTEm^Mw3Z9ADsT)7yJ2%7-=Z*E0ypkolaqp#c-HFkUc zdg0BiD0p*QeG+6O`Byj1z4EFi1@He;}ySOCUSOIj$A3g6I-M-#rQahkV0q_gM z+)jI25dZw2xO&9N%8ut5K`w~sHr2!dC7n{jHR~HY;89MvQ5eyv&;3hZ+vr*kZlWj# z9VFyWHmOYmb{UPXHTjokbAW9l8qjb|)6gMs4NA{SBXO$$a~9Vfq6~{KA`V%N8No2l zg@8~DMN?y;^MzHG{Y{JgQ+qCPE-TKW=asrbelQG9Og5sTB0!#@+7mAE_my3yo@`dA zSf!i!SN{6qAE;>=eegl(9E(47i!?{~UNx3V46Y{XGo0u~_q31cPMar6M08c!n6h9J zBPV-kybbBRRc~&w8%%Ed+7U%b2ofXt$IIADN5-|jxAvCh?1rl~Dl5MR)-cnPxT_N- zKD+{yFc7Eb%c5lpn^5VSaAHr(qUn{zX~P~8ngZVp3asgDc#gGXhd2#?_IvVU&#zY* zUVo8++s$K>hk@dDOrA;lZzK$R*hQ2=7mVJWr+~oRO${}&@Qsv&7@Bfa#$kk1{5D?c z1f9MlPaj@fhxJE>{;8H1=S|N8R+5a2zB!O0&JOl-NwxQG!!tK9c6!lXW2UtLbb|?U?1PS?c(Gn=vCgWZ?%;;xwL3WeDLp2+kBPI z%E*Y&ze^H@I(qOp0x(%VIz)*lE&w$pubkcMlJ82#!XhC-B}RgAIWTJhFPa!LiqbD) z(tb3@m~3A^sg6l0GY#gN(@3C1!T0chGg%E<8unYf_~B+x9t)yqma$H$8as+>y@4go z1s})HBJa5y;vH7YbP_&WX5E4n_V^A5R<}E8w@0l|dY$ZED|YO&fTs!e8)>^_$W^29 zuEf?eD;Z0^jpn4fk}o{3(9qpgYh7ygg>W~(bC)JvjDQ(}2Dfz&VJl}D;iL5g|Cx#; z{~8_{VF+coJh`=W^(3;tP1XG_-@@4S5%T2l0%_+%$j1Kn@byuQ_y13$A>-E3*99S*|JfyWrBv+CX4n!=P z3lBvs3{{FUH-&e`tDetf$39R*|6Af`?YCaK`kJXK1YY6)b={GiKiMdgukp;v-1k=4 zn*#5g)}z+zZUJ_7dho1c`mQ)+V8cQ;zSnt)Dn8ktB2aq@o<7zz7xvZL^f%zEgh|as zy023Ng8%nb`UpNOZ8G}XBz!Cz-be%QQCNVRWmt;D4hv>4sy$ZLWj%gnzB)`U## zl699K7zQ4Ol4|b_r?P@69&DNHB=?Q>B9XXl6Kg+RKA+7wciF#D(-2|=r&HpJMtN!D z7ath8;N7js{`r+m(VOXv86)PEg05oJ z(#TsW0Y33EXf072bX3s|6L{petuZsdR@4=Sn-PO7@-;}tZ|&@*hLvI`ZTu>rA}Iib ztkmEt7ve8MWqOS8YlL)nmq7=}sc{N-)d(Z_nuKkyZ(l^7G^9acMl-l@xe}Ak=eyk6 z;y>FxKMLpCumL=?SZ?&3AEu$~WeT-ZbOSFo%eabEMC^bs{%*{O zDK}GeNX~|!sAC`f{2~yqcBZb7*KmSOiYQ%YN!(noI_>?`3rcM5Wb?@ZP}D9+XXxPt ze{Bc%N1Dm)Xw$nkc|mFu7Xgxl35BU04fJXQPi5(|SuIm}Aj;ZJMB(|F*3g!%aaSSw zE#J7gw-MV?Dce$K0M5KJY$P%3=)S|?K=8J{?p$S7I6Yz?&-J=2x*&;dyJpeQ;Jt6~ zpnzLBIP~6T_ujuvGg_+yQxm&IM{)AKje}Z>QQ#icaEA)10|Xj2*?EJo_jIxM zh%A1O{+jl#tMpV()c8Km=yZOG@*BB(ypKO0{T6LZ_bE%uaw5Zq8J0AP?nyH;a3utr zUsItMfD1dmYg-+)L8N-65E%#?g6)#j{Cr|kA)BOHcSH~cyF~Uzt5J}m*wpB!5p(Ig z%rZ}Osx!6DYe>2$h(sHW!&4r&_k5rOaF7dV&SD9xC4sIGd9wO8%#z^j?Z(!{)%QG0 z=$klrkOam2&V0v${;1fg@|y|inp?JM>{I2=*>cCFZhH&16iy3%H?U^Z$!3eziHN;L zRlx%bok+=OWna`4>eMHvC)DlWo3u5=bzeo3(aS;4mnpfN4kq{;vCtRpLCnalKvUx3 zPYFVi;p4sdibs%1X87$pRPZ)U0)HJj{O$rB5vBZulk>3kz{7yKfsaM84+i($lBMe^ z*Yt~dv5#AZ#QI-r!!*t8;O>PYpIge}OYkQvI2%BN$(HKO+EC3|w_5&{g!qxYUHaCV zjEeTiyk>CjaxR|P7wM(pnyu*+R;d<%Z9>GH`Mc;6S8Z^)XkhtBA(iAVN7U|fmc0qf z#7>OSjsmH+Q^Ipp!#$nYbgjSdM;SU%Y~sDk#|TSypldp*X**(05%n;(39?SrsH&;m z$={NMD5imj#iZIy98<55!8PTOV_I()v$9(rslOV{52HZrak0ZVx?m0 z+RiVqU{vLxt*Ma*c5rfvun~G1k0RL4N-yt)cbe2Xy=Volv3gUL$V?mx= zlZa}A?6?)^D%)l-2LN6Krih_mFdnM|H_49gfnDlzrx2@oS5~Dy(pQ zieqhH);H_sf?}z*pL2u2EXHF!X-iqpm;weW0sT29paJD^pK30+DLT>zIzC**&--F;o;M(mf4%!hmgnwO*@I-}tOq7eNPL-l?+I_)T zx8}U}B%+_t`y6Pi4kf0aNUnIbWqRt?ek85EAoV|FH#acb_yhuc>H$9K0H0!jPd4B^ z2k_nkcn6%m@GadxR-Te-gYubHhjc)ax*#;&tG&us)r!+W+)IAto-40F*xSgKj68jY zq74`LeJ=A;UH@&Z{8o}ms(5S?_@7LiISWMgOAMw9i^_5dw}A}#?o=={j$C|)RlIEk zbx#FQyf=Z5*g8jt!$*NvDJ|^3J!=8)Xs4cGu-)x|&SXGmHlVY5fDG+g^T&yG+aOmoPYaoRhq{4 zY7wdXpYBLN^x$@xHkvt!Te%*if7lVyt>F|A#bdD*%QlRnI*Y#==vld9I# z6+OR=yy!^w2{68d733{Y(t5ZHlEhRDc_k>R`dPAzuX$i0cYCv;y~CC^>2S~ zAy*xIDq16}>GP5&Zlmy=IB@Fk9gm`^pD1@dk(b*-lJMCj9r)=z4!sU2r;kM7h<(IY=L zkxrKJ#4c+3J%Q^T*x)OaV0}ZhPW?~ujKRKi6kcd+YRq8G)pf0`32@vbWMm-ol~7*g zuf122apQZ#YJ_i$nyBxsJx^G|KCP$rp{O`$B7xVpF7S2{!(akQ@6U?;t9+kXbHOR) zhCk=VW;Qmv9gbi2+U8q$e?;X234=EO&})+mG~7;z_MUvy%8x>vn$^2z)s7cAtm@hD zC>aAX#>3`g&Thec;Tp{(kC*L0i`j{1d(XfSf>0skOkK*(fqAlQ%)m1^kIXf)w{YkP zRTQYjT|&FErMV^)`+vKi60|EwCEAv2gl07pj7FgnHR-EKke>D$#E@c-{s#mp_xAsG zR_RJ-DO*>TzJ|4H*!te!Eb3zM1ni9gm%L#zt0cwB%>{Ab@+qBtZ9kUAz6OI3GC|Uw zoyFftaetjH@vqyG?Qh|DO@K9Rp#5 zCQb!rtsEOb5qHgprHvKcM>7W>F0a$@vr4?W=8MVAHWshbkF&~71!~Qt)}ir=Iwdr4 z4t}rG-v1~Mjbn$tQY5Lh^Hsl`-Gqk(Cgo{;i5c?xyV_^{b3A`!?P85{3a=Q#?`T=o z){+xosU>;DkN6{QU4}zdD(h+=(g}>N^W?#sb))Pqvrls+SWaCQw9P;!8(CPygzObX zOit4s@c%2n*L)}DIQKzM`o0(v)M=(U?p<(Tv6xhI3*#J#xwQCqV%!VpQHl@_1S4@e zrTJ*|X__Z()5Bl73=?j~fxa4Z)3Pru1%_bIGSF9fPLk3`YVI@cZXW0>GY3!Q17Y1Z z?ekLy)U-gFCC&hexxfn!ez!?pI)q4)G0ImXB1Nq0rnmG)oZb0d(2D_!w6(VebJ(6fzKp2nCR`E;10_95C= z#_u`_sfVcr<^bP>EhDQ_xSwR08Jm)g*JliR_iC8t(~E_?soG=6J`flby2- zAL(szD7TeU}GA|tk-Em-ctX~XgrF=HtiM>7HnGF^gK7lTq z-f};}=XmwAi&-Yp3ti)ONE$D3*F=&oFPvbH&k!p8{Md?C>dlik&0YoLSw{ItDcPLa zrR2MFm&*YRw|dn$>%Wmk8#QeUK4v0mJiIM=8{Jr1O|CpMqN|NyL!z0p_x6=1mgI)5 zPlrq0?Q{CFc0~jKcwzrIayWzxdG{2rP7fONxcyDxJP$n}x{|@D&psp<8c>#OOc4?m z2m4yRP+V8sMqGBWTIWoXAy3Iryuqf|nK92lM=iued|~<1uad*d;&P?BUa^GB@$Z(l zTlGr)(nN)Jy~U(6@=xsGGliK-mOxpTA!Bc~<}efOsaYmYw&0F=P#V#$$Rh8Gs(4OZMuV^21Z0;Hw+E|!$EGuk?-3OrUW;V)`yHmd{v=x{~*Hk%P9X3OX@li*}wZ} zP*Gfq?QvT1dgKkqdvjsC4hU7z&VXAmEM%{VwBKmJ&K_8Cj zP{J9{aL_5*BY$wlOV9to$@;*_><)n`re_pU{h4YYM&rPAwu~}@g<+tKeb(JG5qkmC zf-8mm^!y=A;DEeF)xlmKq)R5wXsvh~_VhfKyVMb*<1ct8Wm7lnihMI3i; zQQ{4z(UwVjl?6`>ado~WS-iW??yb0syCBRmrd}>H>bJw8aFXIxYH3v~3z2S$;?5B|MkoB%8T6?v)H1^|l>yh6 z$+$JFx$A}^I?Ce>d^V|JaE(H|Nz(7Vm(TPcK0-%AZ#gj4Y{t={&o|~Hi2{;;KvZkh zKmFpI8J=c}M_4y}Tf5>lAw32(zwt?c=j8LYmrRxD-HkXVZu;f9Tp4_)KZ$f=ZWwXm znedjGt`?y*p@wv41<1`ne@Jlb#edeOZdi+#$s;o0C_a_#9V1?J9#Qv?46ID=1~2m7 z9@x&0qn}vKdrs(5y0e9^4uG-b*dhhCs)u^rRLpFzcH!|D`;-^8U!qK{#=PS&i_3SL znsCFg8p88in3r=5Dyke@hj6R0uJy@kB)2`FKu|;9PYvjb%C+b7(!@DS`R8HGP5bv)OgF3R}4#?vlb9x{H)?j{Nv=Fma<$ofbSQ)+q zl*C{T4r_cRUR?PU(_rT}8Ngg{4I~|3QwJNC-F~j%&S`rmHqDT!`YB^v^(c#EN&cv$ zE0qm9ywn?&)y)BUFEA}bvcsHSHYsn^V<2@c{U*#|>LmC!%n+6C(2TDWrSilo1iw*@ z_dwUYQoL~EikSPgTZd7=P0g6JVc`J>YK!_xCIE!!o!?^%+Xc(IS=5E}YxV~~u0b9H z#YVkao{BT)q2&*JqvneUvF{A05N&R%{G;h)82o=N+A5;{wqUKA4%EkJqWQpFT|fah z$eup-$;L&alpZMQEid@H&BBjj9A`FRKK#-)s! zx1`OBMF1)8lVE@!^HnvhuhO<5#L(J2i1M?F^gh?hi=1#l@T{TOPj4s=G@wpE0WD;e zO4_iFrn3VNcongH5n*`GQNPZy$uz%9U&cTHq%A8K)41xM1vgSWNH~&WfZ`9oaAC<$Nuh+vt3I2lX|A!w(+qC8PFGLM&IP0e%cuq%gi3+r==x zgsht~-OPyXm3IYJ3{->*3a&cGK{p-RI{lb&iXP|~A8X+?RGUhHs~SR9>7*{y5;+us14VtE$ETIv? zk%PkZ7TTlVt_ODx_QEe0l zg317OkMak~2mm>AE<3Nl(h030KfSS30~YuI)3VYD3g5(eB*!)_pr^{VDmU3zylpN4 z$creXINB*49w-=C(JbENnd6Z%z|Bn|fK!y%+CKC%nT%<`sG=a|fNs>gEo76Pr0}Iq zibn74DWucN067_Ar?20_Rorf@}>4rT6KvEj`Yfss@F?8H?m(49xgt(yEa z#f4Rfy9C34eI@#|Lx{U8!@zjGCy3uVdj;v*)mn-!D5WEz+G<)I=u*5b%~-i_rNw)V zg<4xL*NH%MpKKICQ4-w|yzUm6^l7l|i^;A*rr@%BZWREJ{FTL#Gyom}?1}lS^x~Fr z(VA68p;`i)?*O)MeXmvCDBh$sd1r%F$bZ}? zw~`!b7Vk%;z=e&dYtaHx*D%L)@7PixKqr#4h52xC<)W*W^fPFcDu!__WFKqeiyLoC zPLk1X@yl@W+FK6Cdrq+QN}_MY|BMWlZxsr+rt*(L9g|v!={>*u6*6jciq%R*ISvw) zb4@W$N|dNt%Z1QupJegH6sDAOCzxtH2BdYH`eX$Xxi?0&BCA*c_$33!W9=FhhGTZR z22H9>jI$KO7r|cx3K<)4DY_s~zV*7y!R&)WVV&bOz=mxhPfAPiC`1|4=M8f8R!yDQ zR`dYF@%~}eXC2=>(XmXNkl!YlwOVP(fYDlKRrVeVS|rz@s}&8I(tYa7YW0|f8O*#( z%vY2Fx3DBES84&ph-c{Bs@vEB&ahLs_y`&FS3i5)tKGUs78)0(^Vl;CV!^YHO5yW+ zwQu~YI+cHuFQ*hlN=&nvVgCj*qq5;Mj0ysz59f(I`}_&%14@@FpSs1EO|&wUZX75S zT+D9TtwzI5_9Wwhf0GSw_Rvof-lFA`y{%h;ZYId`4(1d;7JA&N6W;g?M%{8LTu(Br zl)O5HD{qIoetZ3fLxZU3U!ZSBt?CyiY!}{kSxYfGh@he|e7*J~+P%K&30b!IKU}>9 zP#n(_FiHp#Tm!+~Ex1E)clY4#?!g^`yL<4%-455o-8BTa!{7b>ufDJ9y{oIP-Jb55 z-rnw+-rm`nHq>={_!7;c>(vfCQ>-==>8;$yMLzcP7_e6;A9>GLYDSTE9;O*1(PK}K z_()uHopgb{r+wNH?6V}Pu3K?ALRR!mz{`RD82AaAMZV%7P|CabZ#S>*7{m&2%9GmX zr+EqcOg}+3D@2MkY0czmSh5^uLu8*_S(V7MCREs{kxrm*M2X{Oy6`ef9S77DvKgXQ zxg+*zWniKIrJX!Ik3t-*&FX~-Tg@o`J|bqTb|#PjPGk%lqj-mdLn~G5X{>?WQ9< z6-tUx*+lnyo=}ndPj1l{Acf-R)EJD_Q&sc?UX!l#dPRa+<1%3NQs!5q$XOz-bO+gs zZFacJKsIC7@tgY?`$ku%<9qUTV6O5ge79&1!PGDvrHUO9W`>&d z%?%R5%mmXxGSQT7ie47|!3Y-O)91S1%Th=(*d&!qs{c_$iMEFc6rPH1Evb_^DnXVX zU^u8N{woVAelgpp#TAk zD^^#|CwY2cw=llzL?HoT*75F<35H8!(W$;TZ_a15_Encz6wX5)B18AayIZ2?p^N~1 zMB*y?x}iF(nL6t%5|lqKD;1Wiq7gej8X^%>PtqXO9^y--7}~$^Gyc3)8+aZwrC~T z7f#ZMsHh2scBNb<=f1bEDjK5rI(*=$_Q2a{39V-kF)4H*zgb)F<>SnMk9AFy^gF+9 zkO)&%OnW$=7T1uh!q3mR@w{nNfal^p+~&EDMPaaPME_vo+Tn)&klUGoz39w!l=|`- z68fsTg9RN(bF~cpLF*I?B&52cL-39g_`sQQCizE3m&N}KUU0#urL2%!5_kS>NSf<@ zo12U>{*5dSEmi&O;4phw2NELe&ySU{nxLI!qgH=2TwHN~-IuUTNsGld!DUx#=9 zrV2>URc78Ndx{N>|v>hpbtrO#gl4Bfl1Zgh}CP@C5*USiviwjI_#KQ z-|4<@_)-F7NNBb%timmK7#q0m+pOQrgq(e23Hqd<9NjS#P_y*AU=Fs@)A#yd^yp?@tS;O|A;f7^iyTZLL zXr^;J;0QY%(|e7&M$o5oYVK=?R>Ex4|JmBd2|a@Wwh5Ah&13%Gc&^XrB=mE`JW#wk z=N@_|rcOec#v|u?oc8vV+K_54o`|NZex_P2&*JBR1D3#|$Igat3!W2Fy*U7?2c^mX z#sRm&!h~&7-%+miY;#u$%_U47mtt{ioJ0S8K4KquhHfiaijw~2Vb>Zp&tlIS$D~Q) zXm^SOA=@xB?qeQ35cRzl-X1N_`)$_4>C%T@6gcGDDh@-(Umia}P0g)Ks-P9c(A z*J?_Z@!FNnZ_xzHf|vd55kZTx>FJi+ES>|p(M{saO7R=@DObY(<2F9eZMx7|SU=JwpZb~xE&?s(qUs?Gg z(dB36yZE#u$Y_^Er}7%CT_9@?PS(%s25I6fFsJ_Mkl&$#=0@6}cZ%qX`Lut&$69H{ z3A4t``~%CWj1H0rK!UaD1h)$qoiQBgy%n@Ri8wxn)ZT{FK89e%SG&nwP?#opf7>P3PQ^ zvL&{97VFa#iZ<)JPbmU>DQe`jaM-K~UN1bo_7sPokub^$S?MHmT!6qSj}Bw70)JyJ z8|5rpIhJs+ht4ma)j|KZZw)vU7rV^Ux=8h_TSg@$`LHriM{=w|xpenAboFkVLcF%B zn>OFxz62V+2Kfb*mcQ0$M)ocvUVG}2^;9xw4bDvntg9qR_DY1!vhyPCINq3Y2GSj! z)WHNu?>k-7|b;9&y9cQl~4DX*q}T?bs1t1deT zdtr3&mXlE8FEHbLQQ6B(+&zK)aa4@vVAIZCVjEDYHGgKuCm6d$K=7qyTr%F`HU?Iz zaCt_9LoN;nGSx#$AGgoFr{WY-KH*9`3^ZU7iXCnq6l3?$3vF<8q~RLg5R9!#Q2(Rs@g*uySIko}w|n`3yi zESIU)aov@Y;4Y~a7k>yPwv3MsU#M+w`wF(DL1d?~Btx9!iSbFXAf|bkdFBBcJBm1=#*O4QV9wFiL zP@W5H|M)n${3T5DC1uJpLJ4;64;_3aPEbM1Bwe#&fxuou81a1w@oh-JUwFX_ctOaQ zdAEm?8!s+G8dO%)(s|Np?A0=M!IUYtj}p_TH8)3Es?;jJ=oE@|C1H?@r=wX78KCQx zRgG^M9{>hgS!S|F%{K}P{}&$|=cEuk{E7hb?b_V@UNKeG5yk;W_K_e|BfSRoeZa)F zKIR8Wso!e-7$aK#O>3h=nmVL!d?RJV*{u|%d1iK5MXeOaN4+ytL}?F|`$f_J)?FEXnmh#OKnR()+#FD$bXdME9mH5&YdX;+&-4C$Qa#B=T6?dVAC zTjLS95n9A{rvB3Anb~F|pXb#|4hApDErv2RNtuSnXL0io}p1AR<1v37Fq5wfs)m?pwY0r zV*AFR6Cn2~)Tm%jUarj)CI2N&$|tZ$q{gV=;r)>@IqheeK*eUr3dW3_U>1IdX@1TF zOA&vWv6DsuyvRRGu^01ucl1U+f^0b`1<)j-Ge+{VAV;dm>`cnWRbL-zbSu#ZOX?oi zgj86wx<}x{ptf^bg$^-&CM_C8c~DuqLV8k7ALlaTvJauhTC_pd)KR5DTQv;Y+^u4| z1+NuKO|SqsYUQZ3h+V0qT*sEny=J>nxmQ1tg)YM84HrGcQHURs&zadStUy3o_%{fS z$x!mZ5wXwWSig%GaCIP0;JF=S^9GVk{vExI+=i=(LMs$Krb_Vo(-sH1)-v7;l>Zhu z6gi)pE_^vY<-vFDt4G$;@OAH{z=L;Aaps{_k4&-M)Rwc_*YnU$`@Vonio-9hPXhWF z!7r|l9flcWh3?8Ms1DOBx)1i(wc>gDoXG?Aw)u#Ry(9M>g0Zni&%m4a3^-t@lEQk4g@Fr?rFf(@ukXub@d5BeBE>M?h z*DH|EwyuY4_l9u;A-3*9kzgykmg|l0( ze^bk+;hX8I7!SR*V7{GXaG7LxglC)ST3Zyj1*qNnbqppy=44)>*)`9+u7x5TAM;M$ zx+>;0D1yBe!A#S)0@#DI9z53x0l6s0@QDgJ1UbjHghH*nGhO=Iz~d3;soOZk9KGqr zT_cyhs}M43Ar>p{nJ%wcf!o)1?;{MMTX{~_83A_Nyw$~wV+2JWDJ$w6c(ln|%42VO z?150%@+R-2=pyeU%y{o3-8SzdfqL&F!UFH3Y0lfpA=ul=#hQn5OAl8|g9NT;?o~B-xzmFC)Ia+RbYcV-aTS^uK-YMMn(lMgD zMX{_`DsHd)^oi%eAW2rn3V+3aAwrkKyFOU&kOgN^0<%xTg#t@whIKX^^R@V9j(KO! za*yq&Zy_+y)oU7qzt~#vyyOK5B!(h9f$;Gwd4`*>Dc>dU(lu{{&rgzX_mSVPjAy2m z&wBGzAphS%`=IxG_Pr)GH~)<5(%Kc*@l(3VNRvLv0DF%f={*3}JJOd_X^g=xyF<AlE&VMt%QUGe%-~O2 zOt_M8Ngt(G@PP*^1c&a3ZB51LT*9jECW@KdW@qBBbkYq*7MZOSho5#qC|y!s4|~C7 z0S7-hRolNQ>Cu<(OcA~s(Ud1zj6G50NoPg15?#R_X-vZ>xFX3EB6Y2)JO@lNsX@Pa zS&Txb{Bi};=ax$Qezq9!tyfc(0y0XlxC~`#i>*dHA}i5{?^Z8x95^dU8^=gH zLzN1WEPm8iD3;Tz5$yEL>`f!S9*XY3ycVJwz+_(U)w`X0Q>3_R`$pR++1gvd>B8Ob z41vNm3;pfU*Nd3^Xq$=WvwT%>1+6HWV#KPb^j_W%B0pkq_^PwTmH`RgsA)t(Tud(q z;pW@OHJi+DrX4I-U187Sg=XRYz|jDAs!<%qkDIF_dDy7wH&e&pNXWF_jv#x?_*0?fty$`1zoEiBN1vF#Qz)=6!YMaN|1{QmP6VC4XD`3);w;1@ zA~`BZ<2NI>76imzWf5UWj))rd5y~phH=y%L$2LlBg$sKqzw?yI4GTPS3 z=pu!Msi$_f`|ob9`5Y?WFHY&uit)Nv{ zWwB-?qcmIi)OHuHcZ!wOz+I=8fwqQB?G?6f1rQEfRtg<#llY6PD>nr94XK=?Y%aIR zhm0S$a`_VYWYI>b<3leW8a{?+`|Lixe6}q=G#1VkkFun+%M!8IH-QsQ}Z+8}s?XUe}wl={7M82A8>?~%MYyY!^XpFPQr3IF5-UpXtmbHu+f z!$Pr%KwQX-liu^0B^nawNepAMI@B{WUk^xJ%jw(G{bWhi)J*vD`8Uxl>3VpMD|yxg zoE&<11KE}X%VK1-yiKn%4uT;q0m7tq6YkWrk|0s-=Lwr!d(#^+HDYAF&m1=msy(Om zk{5A$dI9umY6y}EsBkr;fr~yHCGYr!wfmoHp7K?7#g=PVhdPWqxth47!&*L*$R!Hh z{YI)FLXb7Djm`Y^g~H>q^Rn^8oyuWfpQvg4*7-w9JsjoNe} zboPhueqUG_4~i_$w$Ugnrh*qaH&EsT#mOWN=pd5`=ImgZ1gkhy?{)GaR16q6ns?gqsnK>yX$y76?Nm#xsPlEM zB#CRAd*QL|>n6z14&T&^#q)VJ zEczw^h{=ESdH>vCGD-s*uRAmFkZf|2oB<8+mR12qHczbf%ta@LCA;lWg|2DbLL@u# zcM|X~>T-egAHGUD!dUj~;&1&u$+C%wuYI>I>z3MIBckb1hny*z`Gp)_Rp^p+%rvdF zaU-IcpL6}J>Um&Tg#*9bL}c&+(GRpr?@A}p&9no7;3q1$fqUb3;?7RK4qc#CVj5u9z zq1w=lOO{%la_H41KOR`h@l*FU=@T5zm?7OFCYn9`;Hv#MIWtU2IgvjGr$h?VY&4dJ zpZ1{KABeSw1uOg}O3|H;^ezt0>k3}8J#gTiBVht}@4o!FN(cit1m~mg(R>L|)h6gN z7pPdhD?&La))M@|jaqbN$Ay^iSO4gXSW&15>=nR&bX6 z)Rb<5M=4s3TwZeq-L<{<-V(*C?n=*rdSR=-#D*?o>f@4b4f@7|ptCJNc5D3^Jg1U zqbX#AV*j-8tm8eE2G-nig(!zt#wmOIDR}*r8E0c$%Ae$nq2F30pxT|^$m#5*Zw%lq2K=L5^H1W(i67z-Uw@BypGum*eYl*-?Qq)ISOX5 zvjvLfGLY^VC$4c@s8#>3+6_e;}0jj9;RSD&TZ;8dulBthbKZCT)voIHoLDr5|~`p#q5$`Zyaq$OO(F3d(XTQu;!xC zDFQ#_t<{iU@mN)EF3c#m=^R!Jweo6G;{j9-D*^QadJNd+avSw;$K-ZW#lK(i$aYxC zL){81{z-*hD*%jGk{Del@?|uWd3~6~RzBHSM-sFMGYsP7DC0BuPSr5HSWYxH1GHLd z|0VqRl%@G&s>U9-$4-fHCJo?LHJN(O3ZFV!qnUX=_?vSytF01X3L!UW_^)k6x|t;( z!tt~=GEBUVp z%M?r7^P2x8V1`J5%KTsP1LxtY1>W$*jorDjlwuZSNq`46zSGkQ*4}b3# z9Sc8GNG%WI5X6Cp8U!JD`2zwUJmer~yIN6FhtTiZc{M~gbb5Q?=LF-8Q-3FFX=k{q zQVk43?E$S0oMf9ct_H%(Qk`XffF*2e0M2qpeH$MDsIaN8C#17JWCOvNG1ZP?a*r7r z3F+7pa_Gd^{)daoHrrOA)B)_yAV*z&30!;rHNJHJY5Tuw$F)h6lK*e>}#`PhnhKXmgms9-EZG-#`2)Jbnz9I_OhxWTzk~3 z+2b^}23r3IvE1CXLFeC5#jtPK%HqjpRHql#cpGRTo>fJ-NGiDAKv zu~xLH4KcAz=3!qVDK1@7V}S2@e98SzSS8fn#k#toZ5SdQKRVd#$;|%p&lqv{ z(l?6#3Ap~UW%xf;+(~7{=HAVjZOGIqr5jhdn+j4))$qTA{V-a_+)X(vy%jner2%82 z0L>p^2f9Hl5W6^LO|9Wi@b-jIhyse~z9tQE;>Fl*wiVZ~;L336NbA(TbW5yIrw^|& zLKONxjkR%|S3xc-MBx8u#}gggd^6Uv_Z-;h2cQWh3Q1eq)76c!wT>b{3NU&yJ1135 zZf>Ktbyip+G7D(+18Ayo{@>d;w*=92x90z;Yq_c>U1=%HZ!1faQ8W z3HVyG^p-RI%LLY|k8aLx>>A}a9VZ&6@PX&d5MvydG+{RzeErCB zCvBJww$qRL!$r0`Ha{u0k{)i_6gpj8ot#5YXcnQf|2b|1^QL2FO*1 zxZW1A2ERDdo>H_t>4->Z032&Le6XcfgvIgo?`tcGFDldW%eR}Q@UmL z;mI`P43`|1;(tON=lTY2Yt;F&BnreE6{7`=FK9r z=bF-uW3Wj}s_FApAdNxKFwH!iG?$IP(dTOA*=wfe>gLtduuawInHM`S*8E!PR)KA5 zvkCvtt==keWYX-w?1+(4Rypmt*>}3T#u3o-s;`fT=M)K5^3IaW8sJv zuEqIK)_;_<2S8x{iqc*;Q}37UiB=!sko3SO4;i z5HC+g`0L-bCAPS$Z8y0_Mq96)?*l8TQ^NHHMVtHjn+tjOl#F4V~lTo?8|OQ7QdE;wO=Ns8l%}nK1NJ*KQr^NyM*l^jvT{k zG^>uhhkC6Ez(j*}bqwljat++qXAWD>Y@1DK+)?s&UgB(>-k=Se9*_Yqsxa!s-2$tW({_ zOe4o_Slz~9-_oSrvT{wmZHKi?Z4cl6r;|(gPp4+=S_uVQ(={kxp7l3MAZV>wwxroM zRo*sT_5feIDrL;1-a(;D2G@2#bCMp1!QyMokOm`{;MbVW9-w6EIIlKsH2p5H7)LphdNrX?3o$$zD&{dcCF0UPf+zn-VOwTPu*_0wkT6Owwf%ckMA? zQ!N7L(-C`Tf6r&CA5E9DAg>!VV%|NrwA{0~LiKa-FbvDD5pE4tf0#U8Hmblak`N~A zh0$t26a70+b~XlXxkL54abu{pAnD^g7sQmbzA z<6>hko={%la4If8P~t8!<8uT|3cptR zK&}rNuhz8HjRoH6P#q47L-{1^9+s1g@^rcz8+`5c#F$ic*u2Vtku0%eG^$Eei^xyNV0rztgZ+LvhKYgycI)Ay{DpOwdxI>}aOPJ2IKpSX*A9onage^K-`C z)Yqr>QxZ6G#cl2{gL3DBqQJyJYFO8gW?WXof&CvxY2Qb}GlBcH#a@IVpJ{^|n6eUQMztJMdXFR*nLT z;+sO+u$f}<%Gwo?IV%~EHfCSUjzmBKkyWTcHI;^yaKP~=%OF-v>1BN?kta;&${o61 zbon*e@C^1O3hPmbH9an2TYqRve?{SI`K484dt~u9+o*=G{BTNtW~xO@)CN6~uJ6{+ z`EitJ!oNMKl#Q20mp5S8SwyN_k7}7>^3wt9RZ3mVB3VCFCjV}Nyl_KVqo&Xru&x%c zOeU_~0wNozml4YTn$~LGFGOss@61%7X_&?BU?VfU7k#lcs5aXj)!*2Y;iFpZD zni!EiiU0W$_6;S%U+N$DiYRr6OW)+6N`MabC0<(#9M4)Fz9K5H3O6dCh`240njUSx zjp6J5js4G^x@%Ra3S`w~1%%VcTw;@0YXTS)irYU_1XabM$~ip1w%fAL~i;wY>c&ZiH847<{? zoC825xY%)R^$?0614UxouZLDFnG?HKb#W6>;H)B<^{P!5p0$ZJ7xD*e1s{J<QQ#M58Vvx~k)Z*im@pARiEH(!$GkVjB zQv{UIjwF;xO)^(^PmWH=aus&Rk5a^@?JfZz#4v{?KUvx6qO4d3AqB@z(?#AbxC>r%;!WKiCHIIb()8n)=^rs4f$MS7iXE&!CtGzO1?V#Ac7(jaN@w)ph#gBe2`&AqS`lK zSV={oWi+*YUFGPm6-(Y|lvQ041h7PmM)4qq1a?c1(-d|0kwHMxe*p64y{Mb(Kk(&0 zuto|2)&GHj|9~#FX1`sdrsuT&j3Yn-vuF>B0+(CED&+TOq3N`+p&0) z)RHUtn%D_123i|i^OTvV*27OPMZ}0ygMh)NyT&v4BGZSb{AXtgE);BZCzxzleUZj=W2Y{;W4E zMd1o!I(b25`vl`sLso%tuk<(87vJ_oj3Qe6;Lcr{!G-j=Q@Zgf?2(x;`D7?w zSCFv*RZqmj7}3+`@au~6q$##Rs=HSwYL)c0;AoW@$ptkdqupkw{oFc^0`Z$?-Q;$O5>e@8=Br~G(9DFBtZIFY|UGN~+ zp>vCUN(;(0_`$iW7@pzybvwDe?j#w|CZ_u8*W^p7`@?o>N$w^@k@#0i=d9@RU{nY8 zivV0A8Vp4W!|7|BFFsEzzMBdB^!RwuINplzf`JZP8;%WvS+|A_7FV=(1{Iw|GFBZ0n9m+(IsXTMD2t1cR3R5b5 z3sDgsA?q!<`&0*sOj)%3H8r(B_6KXpo(@1lnr&aVLFf5iCV!RaYzGZ0pECL(g;vnM z!?T=3dJ})Ak!lyq8Wko}LBp_uR)A-))p!Vnhgw3Mk&wwFSVd}Y+u&upxp$lMl4Sn0 z;9;(!PMTv(%Z;+7SNxjA6gpQCD?u(6^4h0B)6dWgJ?~$A$f*%%P^RPP5MZeYrg4ti zx@u^ZXGb=2Yr>Z*Wf`tFi`h^bAbW?JE}@)RzszhQA>y4ye>$?xvCQis;Y=1!mPGZX zj*$o_NJwFmXCd@-S^=9GZK;{1{bsKhGhSg|aL^%$78A`X0sp?rF(x2w9PFxb3ek6L zCSu^tJyD&z*pYT2dWfaM^Y}4dV_}!*?SFn3kt8UK4|5*1MKr}@S{?Xf^14qu(6mzW zeSqlfGV`dzvJIL08CSE|6CWHH9dS7`!lR;_@k<#^*t+JPsvgakJPtfL6J(;pu}LDk zY6Bue0Ub}AWS){w!Ia1BtrC#1Llz64+r$d~V)L6;cDQ(JSC#7@{ft6yTTP#`n`|i2S)`G)!5SK{0RUb2ba|x}v(a*q@rlTr&O;4J1B2bK6G;w@|ys9}Y}< z!mY30fbUj}uT_J~V`vHik(yq|_`UEvE4Bb(T^wtGcyby{{F?|n*7G30WYXovqvvLA zUpE!5u}vDmM&-7cH+M;|X{atn?%&ejC$;VfFPlgC1s3uwj>QR>h1~I;u>dclQD#e{ z(XC>2F%W+dU@lxSfVRRPm5ZYScKr(tV%HO z*sZwCn@f<|%`h>!z&5ob%bdW(tp+p;E^4|Ac;w(o$XiGwk0g~RsHCU2 z4*{AVMiDwT!HwDr?ikg}J>C{FN&e|eg9xAz_TRYQLPlSZ;v)Cd$y$52Ba$F#@1%8`=(W6)PXOxs8 zeJh#59UX`7^LmrPYYgVQfn|N(&`Mvy%qlIPVVRSEr$W!*c;)qR77=&fbgArEK)#?b zq`pE7(n9Fc+_{H##0Cch1(IFW!Muw+{Jn&$UV2QH{RdsW#OpGv>a+|4iS1!Ihk1@7 z$~sv18&!EPJ}n5rOH?>)`DvP6=~j1W?HCHUM%u%p*5$^3OcX#>J5dfN-ZJ_D%1N{u zr-0qjMBwdkl`$UyrIC60u56?(lpe2z(0*UMhz=e2V3v<(gu6t5v>0CWDDwu` z@=?ygD4y>YzB;>aU@`~WrzAI3fqrVkDy^-AC`1FVU z>b-P^l)Q#y-i5+L?2t}?W{ow@s(VLLVI{sjD&QMb0Gu7VwOx`^#81DFKF$vjh1NXY zF#yf32+Fe8&OfHe1mB-P^;eM%1Qbo`TI(od0ltOE5!0`X(NX1a zZ;2I!dw34%WQ~lkCgOxpMrwzPeD}FowifN+$^1!|hR?Pk3H4YC2(ar?v$M{1xHJ819`i&=*h*AsuhlMURXkCLu9h&d>V4CCq?b*8L8Qeani#h^E0d5-33 z@ybQZNgW8tx2vSSTrY(6rhFT6=Dku31ptxFC$u9txOXl!yY#|-Q&kj{dg)TbIl-OS z^Q5j1ox!h~*99^iW-nb>Iy_|TS z%kGDC$dEHy{Zw3mX+KUS(@7irN?%Eqm!B2JM$bNRflYh?K<&i#N)M4$egugNx8dhn zPKt!NxEoxGAyVLmruVgf}P|2M$~$^$f`G%=i1DuFQy4d(#%(!|rvDiAOT5 zWy?#AD>{mDR7{~)*r5)vyNr&PV1SlPqm4!XlS{noHw8X?kaiYmSaA3LyD{oo_eKvr4Nx3EHmDrS}0^2-jY^5yUq zmQow|5*H9ib-u8?<LD?b-Cice%IPf4)Qbt16x^O?V{0dt&DxvE(MF0Y{J5nK36GbLn6<{TzPEq| zAc0uFx|e(=TOjaYAp$plI_t-}FZ!&sfbGF^hR=t&&_flyeMP-m#a9MEds{{Y9&!`% z?r|{_7RgJ>KYU%k%EIFP>rxxcGSThqqY>w*1258S>25{HXRTIEBO)W>a3K@BbWBw%&KQV5Yh!eVGj=ERrpBZ;0^dt=L)-9T;EV{p? zOm~9t(!9|q8d>ME3JjcG7*{K zzlU}o6ZXwYXCmy%k$HE3Hm#a1{?O(KT>KcUSmO2GcO-ve50xx;voNM-BrGr)K(d1n0}s=jFu)AYR^33?9_JW__ZS$IFY6Ne_wK{YyB@;#*CUgnD#3-*E`6Nce_3V#2W;||%jHWV@eRDpK;bk6bX{@5z5z_oT; zch*1dvfM7!WXz0R>3@yCKDD~{Y*Z1>@oRETD|(8Ht?1_+x@{j;1UrRFNUR0_VAdd5 zhIYoY(?b!;|xKGrxt3OTsT554o@e2(g z@3A0JpB*ENL`gwNX>S#cCrvP^sAVx(w0hn*B(HGF>_0Z#jGP(E&CG=y=S2kwV|1qI z*BPCo*15lwO6$l?D@`55e8u=c&yA-@&yM@PF)9_-g@=?#vzwq(bWqKROH5Ntm63+p z5;w{51#FpmMV#W}OyNqd?YcEO&e||4*XK*+)mX~|J*fNDdRHfJX;P^f)a>e0%W}T9J zMuxuhVk>{?{tF$qDEvRe){&e$b>+rcqz7DK8o#BnDD{=JSi+jC=Lz*gtb<`2Ck{Qh zK=xr@bQ(~0-Gc21*rvKs31^f!(oJ>-1y$`LUXNv(u$r5?lw~P6N-M#G{s`T(EG>Zs zmh`H_a3Nzq>tW(`Eeq9iee{{=0E`M{OR)YrD~uqW-)8gTaw>mnEmTd;3_{BQFglE? z^yMAt1LB+tB{UfTHPJMn9?!)mRDUT# zyxqQwHko%t^L~PYDuRZBLWN*O9E|N8Emhs@6pii8U8jCGC_<~COI+etM8-9TNs1mi zX#O;3vH}hOhs90BVwoAxi=+XJu(@F*Lv`q}B*qor$@080OieGd|M_}eOy*|hE^h`0 zdS84Fgio=_}WF0TZ!?RcjBCjQQ^`3ttUPAi7b*LfWA1|Rpn;qilEHxcP`P;cU29^q`&8f5V9aQ(@ zw9JNw&~2HF0@X~t7rfnG?p2GekIt#NxwNs$$tSGO+s8=%UnTQ=s?PEG3()=vOCSseeS0M(EDvMyAYJfmMle80BQH>kRMXM%~9-K0k@W)adm#Eykk7 zW3pJyq~AOnuX^`ke$>I^=^&7Xkzg)e;ktyueKie7Rm#O`eePgeGCA4ZxxrVmZp*z= zIzhFD`Q6`}sikY@1G_zDs@OhW7tNNa&c2-n&&@!$1;ja<7I)Eps^YtBWeXe|+XI6% z32tych|S@*IlBbR;{FwBB{2Op$7&=;Yj`-s18tF70|AV;o~6>;63&z?RRBuZ>KH(< zKDngd!C8|d7O2?ZET7m{2H>0Yt)UqahU@-QU6y)g%TyB)HRN?577N=oo9ZY3PcP7F zbp@`!{|pCa9#$APgVweGB;ivgiG|5Of9I4&oq-e7-(5`6vIugod;tuKQc0fPk-bT2 z!pg(fx7nq}a8B?r3FC!=U5Z9Kk>=t(xg_?ibBa_$d&pl5K07jl=f%pvbH(L6j2VMk zBJ?3#f?3fDXibNeT0i!au4ngco{oRS9OiRp$e=(iFQWTgep%XC@HGYN1dO)@u?1v? ztol!Ajok#{o0Br6F&R1AJ>k-gWFY@Z3mnS`_{WVeVnSRD-D}<^tJ65l1MPwM%{8I} zpdJCX?s5z?pIuAjQZpq|OP~MF-C8x#JpGH>a;N6|Y>K-QgK5g>w=8b9A1yQUFykWe z>YFnzx+Wi+DZ!+BC=iNx~FWzp^q3z;LT{c_Z5a*NS`_MfQ@JRA+ULq0^lni*|Q$Gyjb(!PUpKQZEL9 zpZ@DOOUGxAD4g3dLO*zAxlhncQ2%dF=mF^o@%?{WeUgKMBJlYH1@YDY-%ngi_5aV% zV-O?C`~?c?_WzkG0|OU|awVWKt~rTGo_T5cE>6h$%7GS5-8A8b2P*@^1O-Tui_lsT z3@m_s+~b4%LqlBSJ^kGLk@bR#Ye&N;UCzLwngeJrCqg5T^(KOW!85NUwWz4Hpah>b zRKJBqGcdULI-+anebcwl7wAT5VFm^ug#>oRGB7yjkVTrEconwUQJD@)j1Q;0DQLOr% z2h@;XR1)Bi5?!F6+b+nc2rPdY6o42ty7-D27=kl%GL!T3f>O)SExS~:udb@[UDB=(0,4)]:pld0:output_permute1.q_2" - switch ":udb@[UDB=(0,4)]:pld0:output_permute1.output_1==>Stub-:udbswitch@[UDB=(0,4)][side=top]:v26" - switch "OStub-:udbswitch@[UDB=(0,4)][side=top]:v26" - switch ":udbswitch@[UDB=(0,4)][side=top]:26,12" - switch ":udbswitch@[UDB=(0,4)][side=top]:100,12_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v100" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v100==>:udb@[UDB=(0,4)]:statusicell.status_6" - term ":udb@[UDB=(0,4)]:statusicell.status_6" -end \SPIM:BSPIM:rx_status_6\ -net \SPIM:BSPIM:rx_status_4\ - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f1_blk_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f1_blk_stat_comb==>:udb@[UDB=(0,5)]:dp_wrapper:output_permute.f1_blk_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:output_permute.outs_5==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v86" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v86" - switch ":udbswitch@[UDB=(0,5)][side=top]:86,5" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_5_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:22,5_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v22" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v22==>:udb@[UDB=(0,4)]:pld0:input_permute.input_11" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc2_main_5==>:udb@[UDB=(0,4)]:pld0:mc2.main_5" - term ":udb@[UDB=(0,4)]:pld0:mc2.main_5" - switch ":udbswitch@[UDB=(0,4)][side=top]:72,5_f" - switch ":udbswitch@[UDB=(0,4)][side=top]:72,43_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:96,43_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v96" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v96==>:udb@[UDB=(0,4)]:statusicell.status_4" - term ":udb@[UDB=(0,4)]:statusicell.status_4" -end \SPIM:BSPIM:rx_status_4\ -net \SPIM:BSPIM:count_1\ - term ":udb@[UDB=(0,5)]:count7cell.count_1" - switch ":udb@[UDB=(0,5)]:count7cell.count_1==>:udb@[UDB=(0,5)]:controlcell_control_1_permute.in_1" - switch ":udb@[UDB=(0,5)]:controlcell_control_1_permute.controlcell_control_1==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v106" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v106" - switch ":udbswitch@[UDB=(0,5)][side=top]:106,11" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_11_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:20,11_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v20" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v20==>:udb@[UDB=(0,4)]:pld0:input_permute.input_10" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc1_main_3==>:udb@[UDB=(0,4)]:pld0:mc1.main_3" - term ":udb@[UDB=(0,4)]:pld0:mc1.main_3" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc2_main_3==>:udb@[UDB=(0,4)]:pld0:mc2.main_3" - term ":udb@[UDB=(0,4)]:pld0:mc2.main_3" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_6==>:udb@[UDB=(0,4)]:pld0:mc0.main_6" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_6" - switch ":hvswitch@[UDB=(1,4)][side=left]:30,11_f" - switch ":hvswitch@[UDB=(1,4)][side=left]:30,70_b" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_70_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:40,70_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v40" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v40==>:udb@[UDB=(0,4)]:pld1:input_permute.input_11" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_6==>:udb@[UDB=(0,4)]:pld1:mc0.main_6" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_6" - switch ":udbswitch@[UDB=(0,5)][side=top]:20,11_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v20" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v20==>:udb@[UDB=(0,5)]:pld0:input_permute.input_10" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_6==>:udb@[UDB=(0,5)]:pld0:mc2.main_6" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_6" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_6==>:udb@[UDB=(0,5)]:pld0:mc0.main_6" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_6" - switch ":udbswitch@[UDB=(0,5)][side=top]:106,36" - switch ":udbswitch@[UDB=(0,5)][side=top]:58,36_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v58" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v58==>:udb@[UDB=(0,5)]:pld1:input_permute.input_2" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_6==>:udb@[UDB=(0,5)]:pld1:mc0.main_6" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_6" -end \SPIM:BSPIM:count_1\ -net \SPIM:BSPIM:load_rx_data\ - term ":udb@[UDB=(0,4)]:pld0:mc1.q" - switch ":udb@[UDB=(0,4)]:pld0:mc1.q==>:udb@[UDB=(0,4)]:pld0:output_permute2.q_1" - switch ":udb@[UDB=(0,4)]:pld0:output_permute2.output_2==>Stub-:udbswitch@[UDB=(0,4)][side=top]:v28" - switch "OStub-:udbswitch@[UDB=(0,4)][side=top]:v28" - switch ":udbswitch@[UDB=(0,4)][side=top]:28,6" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_6_f" - switch ":udbswitch@[UDB=(0,5)][side=top]:66,6_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v66" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v66==>:udb@[UDB=(0,5)]:dp_wrapper:input_permute.ina_1" - switch ":udb@[UDB=(0,5)]:dp_wrapper:input_permute.f1_load==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.f1_load" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f1_load" - switch ":udb@[UDB=(0,4)]:pld0:mc1.q==>:udb@[UDB=(0,4)]:pld0:output_permute0.q_1" - switch ":udb@[UDB=(0,4)]:pld0:output_permute0.output_0==>Stub-:udbswitch@[UDB=(0,4)][side=top]:v24" - switch "OStub-:udbswitch@[UDB=(0,4)][side=top]:v24" - switch ":udbswitch@[UDB=(0,4)][side=top]:24,28" - switch ":udbswitch@[UDB=(0,4)][side=top]:95,28_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v95" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v95==>:udb@[UDB=(1,4)]:statusicell.status_3" - term ":udb@[UDB=(1,4)]:statusicell.status_3" -end \SPIM:BSPIM:load_rx_data\ -net \SPIM:BSPIM:mosi_from_dp\ - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.so_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:datapath.so_comb==>:udb@[UDB=(0,5)]:dp_wrapper:output_permute.so_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:output_permute.outs_1==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v78" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v78" - switch ":udbswitch@[UDB=(0,5)][side=top]:78,25" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_25_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:46,25_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v46" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v46==>:udb@[UDB=(0,4)]:pld1:input_permute.input_8" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc1_main_4==>:udb@[UDB=(0,4)]:pld1:mc1.main_4" - term ":udb@[UDB=(0,4)]:pld1:mc1.main_4" -end \SPIM:BSPIM:mosi_from_dp\ -net \SPIM:BSPIM:count_2\ - term ":udb@[UDB=(0,5)]:count7cell.count_2" - switch ":udb@[UDB=(0,5)]:count7cell.count_2==>:udb@[UDB=(0,5)]:controlcell_control_2_permute.in_1" - switch ":udb@[UDB=(0,5)]:controlcell_control_2_permute.controlcell_control_2==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v108" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v108" - switch ":udbswitch@[UDB=(0,5)][side=top]:108,17" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_17_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:18,17_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v18" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v18==>:udb@[UDB=(0,4)]:pld0:input_permute.input_9" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc1_main_2==>:udb@[UDB=(0,4)]:pld0:mc1.main_2" - term ":udb@[UDB=(0,4)]:pld0:mc1.main_2" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc2_main_2==>:udb@[UDB=(0,4)]:pld0:mc2.main_2" - term ":udb@[UDB=(0,4)]:pld0:mc2.main_2" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_5==>:udb@[UDB=(0,4)]:pld0:mc0.main_5" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_5" - switch ":udbswitch@[UDB=(0,4)][side=top]:50,17_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v50" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v50==>:udb@[UDB=(0,4)]:pld1:input_permute.input_6" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_5==>:udb@[UDB=(0,4)]:pld1:mc0.main_5" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_5" - switch ":udbswitch@[UDB=(0,5)][side=top]:18,17_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v18" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v18==>:udb@[UDB=(0,5)]:pld0:input_permute.input_9" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_5==>:udb@[UDB=(0,5)]:pld0:mc2.main_5" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_5" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_5==>:udb@[UDB=(0,5)]:pld0:mc0.main_5" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_5" - switch ":udbswitch@[UDB=(0,5)][side=top]:108,30" - switch ":udbswitch@[UDB=(0,5)][side=top]:60,30_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v60" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v60==>:udb@[UDB=(0,5)]:pld1:input_permute.input_1" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_5==>:udb@[UDB=(0,5)]:pld1:mc0.main_5" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_5" -end \SPIM:BSPIM:count_2\ -net \SPIM:BSPIM:count_4\ - term ":udb@[UDB=(0,5)]:count7cell.count_4" - switch ":udb@[UDB=(0,5)]:count7cell.count_4==>:udb@[UDB=(0,5)]:controlcell_control_4_permute.in_1" - switch ":udb@[UDB=(0,5)]:controlcell_control_4_permute.controlcell_control_4==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v112" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v112" - switch ":udbswitch@[UDB=(0,5)][side=top]:112,76" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_76_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:16,76_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v16" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v16==>:udb@[UDB=(0,4)]:pld0:input_permute.input_8" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc1_main_0==>:udb@[UDB=(0,4)]:pld0:mc1.main_0" - term ":udb@[UDB=(0,4)]:pld0:mc1.main_0" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc2_main_0==>:udb@[UDB=(0,4)]:pld0:mc2.main_0" - term ":udb@[UDB=(0,4)]:pld0:mc2.main_0" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_3==>:udb@[UDB=(0,4)]:pld0:mc0.main_3" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_3" - switch ":udbswitch@[UDB=(0,5)][side=top]:112,21" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_21_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:56,21_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v56" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v56==>:udb@[UDB=(0,4)]:pld1:input_permute.input_3" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_3==>:udb@[UDB=(0,4)]:pld1:mc0.main_3" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_3" - switch ":udbswitch@[UDB=(0,5)][side=top]:16,76_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v16" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v16==>:udb@[UDB=(0,5)]:pld0:input_permute.input_8" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_3==>:udb@[UDB=(0,5)]:pld0:mc2.main_3" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_3" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_3==>:udb@[UDB=(0,5)]:pld0:mc0.main_3" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_3" - switch ":udbswitch@[UDB=(0,5)][side=top]:56,21_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v56" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v56==>:udb@[UDB=(0,5)]:pld1:input_permute.input_3" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_3==>:udb@[UDB=(0,5)]:pld1:mc0.main_3" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_3" -end \SPIM:BSPIM:count_4\ -net \SPIM:BSPIM:count_3\ - term ":udb@[UDB=(0,5)]:count7cell.count_3" - switch ":udb@[UDB=(0,5)]:count7cell.count_3==>:udb@[UDB=(0,5)]:controlcell_control_3_permute.in_1" - switch ":udb@[UDB=(0,5)]:controlcell_control_3_permute.controlcell_control_3==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v110" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v110" - switch ":udbswitch@[UDB=(0,5)][side=top]:110,20" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_20_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:6,20_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v6" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v6==>:udb@[UDB=(0,4)]:pld0:input_permute.input_3" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc1_main_1==>:udb@[UDB=(0,4)]:pld0:mc1.main_1" - term ":udb@[UDB=(0,4)]:pld0:mc1.main_1" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc2_main_1==>:udb@[UDB=(0,4)]:pld0:mc2.main_1" - term ":udb@[UDB=(0,4)]:pld0:mc2.main_1" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_4==>:udb@[UDB=(0,4)]:pld0:mc0.main_4" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_4" - switch ":udbswitch@[UDB=(0,5)][side=top]:110,91" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_91_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:48,91_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v48" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v48==>:udb@[UDB=(0,4)]:pld1:input_permute.input_7" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_4==>:udb@[UDB=(0,4)]:pld1:mc0.main_4" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_4" - switch ":udbswitch@[UDB=(0,5)][side=top]:6,20_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v6" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v6==>:udb@[UDB=(0,5)]:pld0:input_permute.input_3" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_4==>:udb@[UDB=(0,5)]:pld0:mc2.main_4" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_4" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_4==>:udb@[UDB=(0,5)]:pld0:mc0.main_4" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_4" - switch ":udbswitch@[UDB=(0,5)][side=top]:48,91_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v48" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v48==>:udb@[UDB=(0,5)]:pld1:input_permute.input_7" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_4==>:udb@[UDB=(0,5)]:pld1:mc0.main_4" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_4" -end \SPIM:BSPIM:count_3\ -net \SPIM:BSPIM:count_0\ - term ":udb@[UDB=(0,5)]:count7cell.count_0" - switch ":udb@[UDB=(0,5)]:count7cell.count_0==>:udb@[UDB=(0,5)]:controlcell_control_0_permute.in_1" - switch ":udb@[UDB=(0,5)]:controlcell_control_0_permute.controlcell_control_0==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v104" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v104" - switch ":udbswitch@[UDB=(0,5)][side=top]:104,2" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_2_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:0,2_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v0" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v0==>:udb@[UDB=(0,4)]:pld0:input_permute.input_0" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc1_main_4==>:udb@[UDB=(0,4)]:pld0:mc1.main_4" - term ":udb@[UDB=(0,4)]:pld0:mc1.main_4" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc2_main_4==>:udb@[UDB=(0,4)]:pld0:mc2.main_4" - term ":udb@[UDB=(0,4)]:pld0:mc2.main_4" - switch ":udbswitch@[UDB=(0,5)][side=top]:104,73" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_73_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:54,73_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v54" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v54==>:udb@[UDB=(0,4)]:pld1:input_permute.input_4" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_7==>:udb@[UDB=(0,4)]:pld1:mc0.main_7" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_7" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_7==>:udb@[UDB=(0,4)]:pld0:mc0.main_7" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_7" - switch ":udbswitch@[UDB=(0,5)][side=top]:54,73_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v54" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v54==>:udb@[UDB=(0,5)]:pld1:input_permute.input_4" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_7==>:udb@[UDB=(0,5)]:pld1:mc0.main_7" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_7" - switch ":udbswitch@[UDB=(0,5)][side=top]:0,2_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v0" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v0==>:udb@[UDB=(0,5)]:pld0:input_permute.input_0" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_7==>:udb@[UDB=(0,5)]:pld0:mc2.main_7" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_7" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_7==>:udb@[UDB=(0,5)]:pld0:mc0.main_7" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_7" -end \SPIM:BSPIM:count_0\ -net \SPIM:BSPIM:tx_status_1\ - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f0_blk_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f0_blk_stat_comb==>:udb@[UDB=(0,5)]:dp_wrapper:output_permute.f0_blk_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:output_permute.outs_2==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v80" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v80" - switch ":udbswitch@[UDB=(0,5)][side=top]:80,93" - switch ":udbswitch@[UDB=(0,5)][side=top]:8,93_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v8" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v8==>:udb@[UDB=(0,5)]:pld0:input_permute.input_4" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_8==>:udb@[UDB=(0,5)]:pld0:mc2.main_8" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_8" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_8==>:udb@[UDB=(0,5)]:pld0:mc0.main_8" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_8" - switch ":udbswitch@[UDB=(0,5)][side=top]:80,67" - switch ":udbswitch@[UDB=(0,5)][side=top]:40,67_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v40" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v40==>:udb@[UDB=(0,5)]:pld1:input_permute.input_11" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_8==>:udb@[UDB=(0,5)]:pld1:mc0.main_8" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_8" - switch ":hvswitch@[UDB=(1,4)][side=left]:19,67_f" - switch ":hvswitch@[UDB=(1,4)][side=left]:19,84_b" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_84_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:91,84_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v91" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v91==>:udb@[UDB=(1,4)]:statusicell.status_1" - term ":udb@[UDB=(1,4)]:statusicell.status_1" -end \SPIM:BSPIM:tx_status_1\ -net \SPIM:BSPIM:state_2\ - term ":udb@[UDB=(0,5)]:pld0:mc0.q" - switch ":udb@[UDB=(0,5)]:pld0:mc0.q==>:udb@[UDB=(0,5)]:pld0:output_permute1.q_0" - switch ":udb@[UDB=(0,5)]:pld0:output_permute1.output_1==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v26" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v26" - switch ":udbswitch@[UDB=(0,5)][side=top]:26,34" - switch ":udbswitch@[UDB=(0,5)][side=top]:2,34_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v2" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v2==>:udb@[UDB=(0,5)]:pld0:input_permute.input_1" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc1_main_0==>:udb@[UDB=(0,5)]:pld0:mc1.main_0" - term ":udb@[UDB=(0,5)]:pld0:mc1.main_0" - switch ":udbswitch@[UDB=(0,5)][side=top]:26,60" - switch ":udbswitch@[UDB=(0,5)][side=top]:68,60_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v68" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v68==>:udb@[UDB=(0,5)]:dp_wrapper:input_permute.ina_2" - switch ":udb@[UDB=(0,5)]:dp_wrapper:input_permute.cs_addr_2==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.cs_addr_2" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.cs_addr_2" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_34_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:2,34_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v2" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v2==>:udb@[UDB=(0,4)]:pld0:input_permute.input_1" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_0==>:udb@[UDB=(0,4)]:pld0:mc0.main_0" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_0" - switch ":udbswitch@[UDB=(0,4)][side=top]:44,34_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v44" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v44==>:udb@[UDB=(0,4)]:pld1:input_permute.input_9" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc1_main_1==>:udb@[UDB=(0,4)]:pld1:mc1.main_1" - term ":udb@[UDB=(0,4)]:pld1:mc1.main_1" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_0==>:udb@[UDB=(0,4)]:pld1:mc0.main_0" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_0" - switch ":udbswitch@[UDB=(0,5)][side=top]:44,34_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v44" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v44==>:udb@[UDB=(0,5)]:pld1:input_permute.input_9" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc1_main_0==>:udb@[UDB=(0,5)]:pld1:mc1.main_0" - term ":udb@[UDB=(0,5)]:pld1:mc1.main_0" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_0==>:udb@[UDB=(0,5)]:pld1:mc0.main_0" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_0" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc3_main_0==>:udb@[UDB=(0,5)]:pld0:mc3.main_0" - term ":udb@[UDB=(0,5)]:pld0:mc3.main_0" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_0==>:udb@[UDB=(0,5)]:pld0:mc2.main_0" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_0" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_0==>:udb@[UDB=(0,5)]:pld0:mc0.main_0" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_0" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc2_main_0==>:udb@[UDB=(0,5)]:pld1:mc2.main_0" - term ":udb@[UDB=(0,5)]:pld1:mc2.main_0" -end \SPIM:BSPIM:state_2\ -net \SPIM:BSPIM:tx_status_0\ - term ":udb@[UDB=(0,5)]:pld0:mc1.q" - switch ":udb@[UDB=(0,5)]:pld0:mc1.q==>:udb@[UDB=(0,5)]:pld0:output_permute0.q_1" - switch ":udb@[UDB=(0,5)]:pld0:output_permute0.output_0==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v24" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v24" - switch ":udbswitch@[UDB=(0,5)][side=top]:24,66" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_66_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:89,66_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v89" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v89==>:udb@[UDB=(1,4)]:statusicell.status_0" - term ":udb@[UDB=(1,4)]:statusicell.status_0" -end \SPIM:BSPIM:tx_status_0\ -net \SPIM:BSPIM:state_0\ - term ":udb@[UDB=(0,5)]:pld0:mc2.q" - switch ":udb@[UDB=(0,5)]:pld0:mc2.q==>:udb@[UDB=(0,5)]:pld0:output_permute2.q_2" - switch ":udb@[UDB=(0,5)]:pld0:output_permute2.output_2==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v28" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v28" - switch ":udbswitch@[UDB=(0,5)][side=top]:28,54" - switch ":udbswitch@[UDB=(0,5)][side=top]:12,54_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v12" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v12==>:udb@[UDB=(0,5)]:pld0:input_permute.input_6" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc1_main_2==>:udb@[UDB=(0,5)]:pld0:mc1.main_2" - term ":udb@[UDB=(0,5)]:pld0:mc1.main_2" - switch ":udbswitch@[UDB=(0,5)][side=top]:28,37" - switch ":udbswitch@[UDB=(0,5)][side=top]:74,37_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v74" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v74==>:udb@[UDB=(0,5)]:dp_wrapper:input_permute.ina_5" - switch ":udb@[UDB=(0,5)]:dp_wrapper:input_permute.cs_addr_0==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.cs_addr_0" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.cs_addr_0" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_37_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:42,37_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v42" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v42==>:udb@[UDB=(0,4)]:pld1:input_permute.input_10" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc1_main_3==>:udb@[UDB=(0,4)]:pld1:mc1.main_3" - term ":udb@[UDB=(0,4)]:pld1:mc1.main_3" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_2==>:udb@[UDB=(0,4)]:pld1:mc0.main_2" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_2" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_54_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:12,54_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v12" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v12==>:udb@[UDB=(0,4)]:pld0:input_permute.input_6" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_2==>:udb@[UDB=(0,4)]:pld0:mc0.main_2" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_2" - switch ":udbswitch@[UDB=(0,5)][side=top]:42,37_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v42" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v42==>:udb@[UDB=(0,5)]:pld1:input_permute.input_10" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc1_main_2==>:udb@[UDB=(0,5)]:pld1:mc1.main_2" - term ":udb@[UDB=(0,5)]:pld1:mc1.main_2" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_2==>:udb@[UDB=(0,5)]:pld1:mc0.main_2" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_2" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc3_main_2==>:udb@[UDB=(0,5)]:pld0:mc3.main_2" - term ":udb@[UDB=(0,5)]:pld0:mc3.main_2" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_2==>:udb@[UDB=(0,5)]:pld0:mc2.main_2" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_2" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_2==>:udb@[UDB=(0,5)]:pld0:mc0.main_2" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_2" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc2_main_2==>:udb@[UDB=(0,5)]:pld1:mc2.main_2" - term ":udb@[UDB=(0,5)]:pld1:mc2.main_2" -end \SPIM:BSPIM:state_0\ -net \SPIM:BSPIM:state_1\ - term ":udb@[UDB=(0,5)]:pld1:mc0.q" - switch ":udb@[UDB=(0,5)]:pld1:mc0.q==>:udb@[UDB=(0,5)]:pld1:output_permute1.q_0" - switch ":udb@[UDB=(0,5)]:pld1:output_permute1.output_1==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v36" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v36" - switch ":udbswitch@[UDB=(0,5)][side=top]:36,35" - switch ":udbswitch@[UDB=(0,5)][side=top]:10,35_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v10" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v10==>:udb@[UDB=(0,5)]:pld0:input_permute.input_5" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc1_main_1==>:udb@[UDB=(0,5)]:pld0:mc1.main_1" - term ":udb@[UDB=(0,5)]:pld0:mc1.main_1" - switch ":udb@[UDB=(0,5)]:pld1:mc0.q==>:udb@[UDB=(0,5)]:pld1:output_permute0.q_0" - switch ":udb@[UDB=(0,5)]:pld1:output_permute0.output_0==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v38" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v38" - switch ":udbswitch@[UDB=(0,5)][side=top]:38,18" - switch ":udbswitch@[UDB=(0,5)][side=top]:70,18_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v70" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v70==>:udb@[UDB=(0,5)]:dp_wrapper:input_permute.ina_3" - switch ":udb@[UDB=(0,5)]:dp_wrapper:input_permute.cs_addr_1==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.cs_addr_1" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.cs_addr_1" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_35_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:10,35_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v10" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v10==>:udb@[UDB=(0,4)]:pld0:input_permute.input_5" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_1==>:udb@[UDB=(0,4)]:pld0:mc0.main_1" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_1" - switch ":udbswitch@[UDB=(0,4)][side=top]:52,35_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v52" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v52==>:udb@[UDB=(0,4)]:pld1:input_permute.input_5" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc1_main_2==>:udb@[UDB=(0,4)]:pld1:mc1.main_2" - term ":udb@[UDB=(0,4)]:pld1:mc1.main_2" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_1==>:udb@[UDB=(0,4)]:pld1:mc0.main_1" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_1" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc3_main_1==>:udb@[UDB=(0,5)]:pld0:mc3.main_1" - term ":udb@[UDB=(0,5)]:pld0:mc3.main_1" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc2_main_1==>:udb@[UDB=(0,5)]:pld0:mc2.main_1" - term ":udb@[UDB=(0,5)]:pld0:mc2.main_1" - switch ":udb@[UDB=(0,5)]:pld0:input_permute.mc0_main_1==>:udb@[UDB=(0,5)]:pld0:mc0.main_1" - term ":udb@[UDB=(0,5)]:pld0:mc0.main_1" - switch ":udbswitch@[UDB=(0,5)][side=top]:52,35_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v52" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v52==>:udb@[UDB=(0,5)]:pld1:input_permute.input_5" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc1_main_1==>:udb@[UDB=(0,5)]:pld1:mc1.main_1" - term ":udb@[UDB=(0,5)]:pld1:mc1.main_1" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc0_main_1==>:udb@[UDB=(0,5)]:pld1:mc0.main_1" - term ":udb@[UDB=(0,5)]:pld1:mc0.main_1" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc2_main_1==>:udb@[UDB=(0,5)]:pld1:mc2.main_1" - term ":udb@[UDB=(0,5)]:pld1:mc2.main_1" -end \SPIM:BSPIM:state_1\ -net Net_30 - term ":udb@[UDB=(0,4)]:pld1:mc1.q" - switch ":udb@[UDB=(0,4)]:pld1:mc1.q==>:udb@[UDB=(0,4)]:pld1:output_permute2.q_1" - switch ":udb@[UDB=(0,4)]:pld1:output_permute2.output_2==>Stub-:udbswitch@[UDB=(0,4)][side=top]:v34" - switch "OStub-:udbswitch@[UDB=(0,4)][side=top]:v34" - switch ":udbswitch@[UDB=(0,4)][side=top]:34,39" - switch ":udbswitch@[UDB=(0,4)][side=top]:58,39_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v58" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v58==>:udb@[UDB=(0,4)]:pld1:input_permute.input_2" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc1_main_0==>:udb@[UDB=(0,4)]:pld1:mc1.main_0" - term ":udb@[UDB=(0,4)]:pld1:mc1.main_0" - switch ":hvswitch@[UDB=(1,4)][side=left]:14,39_f" - switch ":hvswitch@[UDB=(0,4)][side=left]:vseg_14_bot_f" - switch ":hvswitch@[UDB=(0,4)][side=left]:14,61_b" - switch ":hvswitch@[UDB=(0,4)][side=left]:hseg_61_f" - switch ":dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:98,61_f" - switch "IStub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v100+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v102+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v98" - switch "Stub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v100+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v102+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v98==>:ioport0:inputs2_mux.in_2" - switch ":ioport0:inputs2_mux.pin5__pin_input==>:ioport0:pin5.pin_input" - term ":ioport0:pin5.pin_input" -end Net_30 -net Net_107 - term ":udb@[UDB=(0,5)]:pld1:mc1.q" - switch ":udb@[UDB=(0,5)]:pld1:mc1.q==>:udb@[UDB=(0,5)]:pld1:output_permute2.q_1" - switch ":udb@[UDB=(0,5)]:pld1:output_permute2.output_2==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v34" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v34" - switch ":udbswitch@[UDB=(0,5)][side=top]:34,63" - switch ":udbswitch@[UDB=(0,5)][side=top]:50,63_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v50" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v50==>:udb@[UDB=(0,5)]:pld1:input_permute.input_6" - switch ":udb@[UDB=(0,5)]:pld1:input_permute.mc1_main_3==>:udb@[UDB=(0,5)]:pld1:mc1.main_3" - term ":udb@[UDB=(0,5)]:pld1:mc1.main_3" - switch ":hvswitch@[UDB=(1,4)][side=left]:25,63_f" - switch ":hvswitch@[UDB=(0,4)][side=left]:vseg_25_bot_f" - switch ":hvswitch@[UDB=(0,4)][side=left]:25,10_b" - switch ":dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:99,10_f" - switch "IStub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v101+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v103+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v99" - switch "Stub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v101+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v103+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v99==>:ioport0:inputs2_mux.in_3" - switch ":ioport0:inputs2_mux.pin7__pin_input==>:ioport0:pin7.pin_input" - term ":ioport0:pin7.pin_input" -end Net_107 -net \SPIM:BSPIM:cnt_enable\ - term ":udb@[UDB=(0,4)]:pld0:mc0.q" - switch ":udb@[UDB=(0,4)]:pld0:mc0.q==>:udb@[UDB=(0,4)]:pld0:output_permute3.q_0" - switch ":udb@[UDB=(0,4)]:pld0:output_permute3.output_3==>Stub-:udbswitch@[UDB=(0,4)][side=top]:v30" - switch "OStub-:udbswitch@[UDB=(0,4)][side=top]:v30" - switch ":udbswitch@[UDB=(0,4)][side=top]:30,92" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_92_f" - switch ":udbswitch@[UDB=(0,5)][side=top]:102,92_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v102" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v102==>:udb@[UDB=(0,5)]:c7_en_mux.in_3" - switch ":udb@[UDB=(0,5)]:c7_en_mux.c7_en==>:udb@[UDB=(0,5)]:count7cell.enable" - term ":udb@[UDB=(0,5)]:count7cell.enable" - switch ":udbswitch@[UDB=(0,4)][side=top]:30,48" - switch ":udbswitch@[UDB=(0,4)][side=top]:14,48_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v14" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v14==>:udb@[UDB=(0,4)]:pld0:input_permute.input_7" - switch ":udb@[UDB=(0,4)]:pld0:input_permute.mc0_main_8==>:udb@[UDB=(0,4)]:pld0:mc0.main_8" - term ":udb@[UDB=(0,4)]:pld0:mc0.main_8" -end \SPIM:BSPIM:cnt_enable\ -net \SPIM:BSPIM:load_cond\ - term ":udb@[UDB=(0,4)]:pld1:mc0.q" - switch ":udb@[UDB=(0,4)]:pld1:mc0.q==>:udb@[UDB=(0,4)]:pld1:output_permute1.q_0" - switch ":udb@[UDB=(0,4)]:pld1:output_permute1.output_1==>Stub-:udbswitch@[UDB=(0,4)][side=top]:v36" - switch "OStub-:udbswitch@[UDB=(0,4)][side=top]:v36" - switch ":udbswitch@[UDB=(0,4)][side=top]:36,33" - switch ":udbswitch@[UDB=(0,4)][side=top]:60,33_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v60" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v60==>:udb@[UDB=(0,4)]:pld1:input_permute.input_1" - switch ":udb@[UDB=(0,4)]:pld1:input_permute.mc0_main_8==>:udb@[UDB=(0,4)]:pld1:mc0.main_8" - term ":udb@[UDB=(0,4)]:pld1:mc0.main_8" -end \SPIM:BSPIM:load_cond\ -net \SPIM:Net_276\ - term ":clockblockcell.dclk_glb_0" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,5)]:clockreset:clk_pld1_mux.in_0" - switch ":udb@[UDB=(0,5)]:clockreset:clk_pld1_mux.pld1_clk==>:udb@[UDB=(0,5)]:pld1:mc1.clock_0" - term ":udb@[UDB=(0,5)]:pld1:mc1.clock_0" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,4)]:clockreset:clk_pld1_mux.in_0" - switch ":udb@[UDB=(0,4)]:clockreset:clk_pld1_mux.pld1_clk==>:udb@[UDB=(0,4)]:pld1:mc1.clock_0" - term ":udb@[UDB=(0,4)]:pld1:mc1.clock_0" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,5)]:clockreset:clk_pld0_mux.in_0" - switch ":udb@[UDB=(0,5)]:clockreset:clk_pld0_mux.pld0_clk==>:udb@[UDB=(0,5)]:pld0:mc3.clock_0" - term ":udb@[UDB=(0,5)]:pld0:mc3.clock_0" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,5)]:clockreset:clk_sc_mux.in_0" - switch ":udb@[UDB=(0,5)]:clockreset:clk_sc_mux.sc_clk==>:udb@[UDB=(0,5)]:count7cell.clock" - term ":udb@[UDB=(0,5)]:count7cell.clock" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,4)]:clockreset:clk_sc_mux.in_0" - switch ":udb@[UDB=(0,4)]:clockreset:clk_sc_mux.sc_clk==>:udb@[UDB=(0,4)]:statusicell.clock" - term ":udb@[UDB=(0,4)]:statusicell.clock" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(1,4)]:clockreset:clk_sc_mux.in_0" - switch ":udb@[UDB=(1,4)]:clockreset:clk_sc_mux.sc_clk==>:udb@[UDB=(1,4)]:statusicell.clock" - term ":udb@[UDB=(1,4)]:statusicell.clock" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,4)]:clockreset:clk_pld0_mux.in_0" - switch ":udb@[UDB=(0,4)]:clockreset:clk_pld0_mux.pld0_clk==>:udb@[UDB=(0,4)]:pld0:mc0.clock_0" - term ":udb@[UDB=(0,4)]:pld0:mc0.clock_0" - switch ":udb@[UDB=(0,4)]:clockreset:clk_pld1_mux.pld1_clk==>:udb@[UDB=(0,4)]:pld1:mc0.clock_0" - term ":udb@[UDB=(0,4)]:pld1:mc0.clock_0" - switch ":clockblockcell.dclk_glb_0==>:udb@[UDB=(0,5)]:clockreset:clk_dp_mux.in_0" - switch ":udb@[UDB=(0,5)]:clockreset:clk_dp_mux.dp_clk==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.clock" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.clock" - switch ":udb@[UDB=(0,5)]:clockreset:clk_pld0_mux.pld0_clk==>:udb@[UDB=(0,5)]:pld0:mc2.clock_0" - term ":udb@[UDB=(0,5)]:pld0:mc2.clock_0" - switch ":udb@[UDB=(0,5)]:clockreset:clk_pld1_mux.pld1_clk==>:udb@[UDB=(0,5)]:pld1:mc0.clock_0" - term ":udb@[UDB=(0,5)]:pld1:mc0.clock_0" - switch ":udb@[UDB=(0,5)]:clockreset:clk_pld0_mux.pld0_clk==>:udb@[UDB=(0,5)]:pld0:mc0.clock_0" - term ":udb@[UDB=(0,5)]:pld0:mc0.clock_0" -end \SPIM:Net_276\ -net ClockBlock_BUS_CLK - term ":clockblockcell.clk_bus_glb" - switch ":clockblockcell.clk_bus_glb==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.busclk" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.busclk" -end ClockBlock_BUS_CLK -net Net_20 - term ":ioport0:pin0.fb" - switch ":ioport0:pin0.fb==>Stub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v0+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v2" - switch "OStub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v0+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v2" - switch ":dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:0,24" - switch ":hvswitch@[UDB=(0,5)][side=left]:3,24_f" - switch ":hvswitch@[UDB=(1,5)][side=left]:vseg_3_top_f" - switch ":hvswitch@[UDB=(1,5)][side=left]:3,46_b" - switch ":udbswitch@[UDB=(0,5)][side=top]:72,46_f" - switch "IStub-:udbswitch@[UDB=(0,5)][side=top]:v72" - switch "Stub-:udbswitch@[UDB=(0,5)][side=top]:v72==>:udb@[UDB=(0,5)]:dp_wrapper:input_permute.ina_4" - switch ":udb@[UDB=(0,5)]:dp_wrapper:input_permute.route_si==>:udb@[UDB=(0,5)]:dp_wrapper:datapath.route_si" - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.route_si" -end Net_20 -net Net_31 - term ":udb@[UDB=(0,5)]:pld0:mc3.q" - switch ":udb@[UDB=(0,5)]:pld0:mc3.q==>:udb@[UDB=(0,5)]:pld0:output_permute3.q_3" - switch ":udb@[UDB=(0,5)]:pld0:output_permute3.output_3==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v30" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v30" - switch ":udbswitch@[UDB=(0,5)][side=top]:30,43" - switch ":hvswitch@[UDB=(1,4)][side=left]:24,43_f" - switch ":hvswitch@[UDB=(0,4)][side=left]:vseg_24_bot_f" - switch ":hvswitch@[UDB=(0,4)][side=left]:24,74_b" - switch ":dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:96,74_f" - switch "IStub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v92+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v94+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v96" - switch "Stub-:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v92+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v94+:dsiswitch_top@[DSI=(0,5)][side=top]:dsihc_top:v96==>:ioport0:inputs2_mux.in_0" - switch ":ioport0:inputs2_mux.pin6__pin_input==>:ioport0:pin6.pin_input" - term ":ioport0:pin6.pin_input" -end Net_31 -net \SPIM:BSPIM:rx_status_5\ - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f1_bus_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f1_bus_stat_comb==>:udb@[UDB=(0,5)]:dp_wrapper:output_permute.f1_bus_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:output_permute.outs_3==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v82" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v82" - switch ":udbswitch@[UDB=(0,5)][side=top]:82,41" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_41_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:98,41_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v98" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v98==>:udb@[UDB=(0,4)]:statusicell.status_5" - term ":udb@[UDB=(0,4)]:statusicell.status_5" -end \SPIM:BSPIM:rx_status_5\ -net \SPIM:BSPIM:tx_status_2\ - term ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f0_bus_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:datapath.f0_bus_stat_comb==>:udb@[UDB=(0,5)]:dp_wrapper:output_permute.f0_bus_stat_comb" - switch ":udb@[UDB=(0,5)]:dp_wrapper:output_permute.outs_0==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v76" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v76" - switch ":udbswitch@[UDB=(0,5)][side=top]:76,78" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_78_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:93,78_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v93" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v93==>:udb@[UDB=(1,4)]:statusicell.status_2" - term ":udb@[UDB=(1,4)]:statusicell.status_2" -end \SPIM:BSPIM:tx_status_2\ -net \SPIM:BSPIM:tx_status_4\ - term ":udb@[UDB=(0,5)]:pld1:mc2.q" - switch ":udb@[UDB=(0,5)]:pld1:mc2.q==>:udb@[UDB=(0,5)]:pld1:output_permute3.q_2" - switch ":udb@[UDB=(0,5)]:pld1:output_permute3.output_3==>Stub-:udbswitch@[UDB=(0,5)][side=top]:v32" - switch "OStub-:udbswitch@[UDB=(0,5)][side=top]:v32" - switch ":udbswitch@[UDB=(0,5)][side=top]:32,72" - switch ":hvswitch@[UDB=(1,4)][side=left]:hseg_72_b" - switch ":udbswitch@[UDB=(0,4)][side=top]:97,72_f" - switch "IStub-:udbswitch@[UDB=(0,4)][side=top]:v97" - switch "Stub-:udbswitch@[UDB=(0,4)][side=top]:v97==>:udb@[UDB=(1,4)]:statusicell.status_4" - term ":udb@[UDB=(1,4)]:statusicell.status_4" -end \SPIM:BSPIM:tx_status_4\ diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rpt b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rpt deleted file mode 100644 index 1396ab8..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rpt +++ /dev/null @@ -1,2116 +0,0 @@ -Loading plugins phase: Elapsed time ==> 0s.212ms -Initializing data phase: Elapsed time ==> 2s.643ms - -cydsfit arguments: -.fdsnotice -.fdswarpdepfile=warp_dependencies.txt -.fdselabdepfile=elab_dependencies.txt -.fdsbldfile=generated_files.txt -p C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -d CY8C5568AXI-060 -s C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\Generated_Source\PSoC5 -- -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE - - -Elaboration phase: Elapsed time ==> 2s.140ms - - -HDL generation phase: Elapsed time ==> 0s.046ms - - - | | | | | | | - _________________ - -| |- - -| |- - -| |- - -| CYPRESS |- - -| |- - -| |- Warp Verilog Synthesis Compiler: Version 6.3 IR 41 - -| |- Copyright (C) 1991-2001 Cypress Semiconductor - |_______________| - | | | | | | | - -====================================================================== -Compiling: SPI_Design01.v -Program : C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\bin/warp.exe -Options : -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -dcpsoc3 SPI_Design01.v -verilog -====================================================================== - -====================================================================== -Compiling: SPI_Design01.v -Program : C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\bin/warp.exe -Options : -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -dcpsoc3 SPI_Design01.v -verilog -====================================================================== - -====================================================================== -Compiling: SPI_Design01.v -Program : vlogfe -Options : -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -dcpsoc3 -verilog SPI_Design01.v -====================================================================== - -vlogfe V6.3 IR 41: Verilog parser -Wed Jan 16 14:35:45 2013 - - -====================================================================== -Compiling: SPI_Design01.v -Program : vpp -Options : -yv2 -q10 SPI_Design01.v -====================================================================== - -vpp V6.3 IR 41: Verilog Pre-Processor -Wed Jan 16 14:35:45 2013 - -Flattening file 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\..\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v' -Flattening file 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\..\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v' -Flattening file 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30\B_SPI_Master_v2_30.v' -Flattening file 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.v' -Flattening file 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cy_psoc3_inc.v' - -vpp: No errors. - -Library 'work' => directory 'lcpsoc3' -General_symbol_table -General_symbol_table -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\std.vhd'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.vhd'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\work\cypress.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. -Using control file 'SPI_Design01.ctl'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3pkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3.vif'. - -vlogfe: No errors. - - -====================================================================== -Compiling: SPI_Design01.v -Program : tovif -Options : -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -dcpsoc3 -verilog SPI_Design01.v -====================================================================== - -tovif V6.3 IR 41: High-level synthesis -Wed Jan 16 14:35:45 2013 - -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\std.vhd'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.vhd'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\work\cypress.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. -Linking 'C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\codegentemp\SPI_Design01.ctl'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v'. -Linking 'C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\codegentemp\SPI_Design01.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30\B_SPI_Master_v2_30.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cy_psoc3_inc.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3pkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3.vif'. - -tovif: No errors. - - -====================================================================== -Compiling: SPI_Design01.v -Program : topld -Options : -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -dcpsoc3 -verilog SPI_Design01.v -====================================================================== - -topld V6.3 IR 41: Synthesis and optimization -Wed Jan 16 14:35:45 2013 - -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\std.vhd'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.vhd'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\work\cypress.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. -Linking 'C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\codegentemp\SPI_Design01.ctl'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v'. -Linking 'C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\codegentemp\SPI_Design01.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30\B_SPI_Master_v2_30.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cy_psoc3_inc.v'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3pkg.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3.vif'. -Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\lcpsoc3\stdlogic\cpsoc3.vif'. - ----------------------------------------------------------- -Detecting unused logic. ----------------------------------------------------------- - User names - Net_83 - \SPIM:BSPIM:mosi_after_ld\ - \SPIM:BSPIM:so_send\ - \SPIM:BSPIM:mosi_fin\ - \SPIM:BSPIM:mosi_cpha_1\ - \SPIM:BSPIM:mosi_cpha_0\ - \SPIM:BSPIM:pre_mosi\ - \SPIM:BSPIM:dpcounter_zero\ - \SPIM:BSPIM:control_7\ - \SPIM:BSPIM:control_6\ - \SPIM:BSPIM:control_5\ - \SPIM:BSPIM:control_4\ - \SPIM:BSPIM:control_3\ - \SPIM:BSPIM:control_2\ - \SPIM:BSPIM:control_1\ - \SPIM:BSPIM:control_0\ - \SPIM:Net_253\ - - -Deleted 17 User equations/components. -Deleted 0 Synthesized equations/components. - ------------------------------------------------------- -Alias Detection ------------------------------------------------------- -Aliasing tmpOE__m_mosi_pin_net_0 to tmpOE__m_miso_pin_net_0 -Aliasing tmpOE__m_sclk_pin_net_0 to tmpOE__m_miso_pin_net_0 -Aliasing one to tmpOE__m_miso_pin_net_0 -Aliasing \SPIM:BSPIM:pol_supprt\ to tmpOE__m_miso_pin_net_0 -Aliasing \SPIM:BSPIM:tx_status_3\ to \SPIM:BSPIM:load_rx_data\ -Aliasing \SPIM:BSPIM:tx_status_6\ to zero -Aliasing \SPIM:BSPIM:tx_status_5\ to zero -Aliasing \SPIM:BSPIM:rx_status_3\ to zero -Aliasing \SPIM:BSPIM:rx_status_2\ to zero -Aliasing \SPIM:BSPIM:rx_status_1\ to zero -Aliasing \SPIM:BSPIM:rx_status_0\ to zero -Aliasing \SPIM:Net_274\ to zero -Aliasing \LCD:tmpOE__LCDPort_net_6\ to tmpOE__m_miso_pin_net_0 -Aliasing \LCD:tmpOE__LCDPort_net_5\ to tmpOE__m_miso_pin_net_0 -Aliasing \LCD:tmpOE__LCDPort_net_4\ to tmpOE__m_miso_pin_net_0 -Aliasing \LCD:tmpOE__LCDPort_net_3\ to tmpOE__m_miso_pin_net_0 -Aliasing \LCD:tmpOE__LCDPort_net_2\ to tmpOE__m_miso_pin_net_0 -Aliasing \LCD:tmpOE__LCDPort_net_1\ to tmpOE__m_miso_pin_net_0 -Aliasing \LCD:tmpOE__LCDPort_net_0\ to tmpOE__m_miso_pin_net_0 -Aliasing tmpOE__m_ss_pin_net_0 to tmpOE__m_miso_pin_net_0 -Aliasing \SPIM:BSPIM:so_send_reg\\D\ to zero -Aliasing \SPIM:BSPIM:mosi_pre_reg\\D\ to zero -Aliasing \SPIM:BSPIM:dpcounter_one_reg\\D\ to \SPIM:BSPIM:load_rx_data\ -Aliasing \SPIM:BSPIM:ld_ident\\D\ to zero -Removing Lhs of wire tmpOE__m_mosi_pin_net_0[9] = tmpOE__m_miso_pin_net_0[2] -Removing Rhs of wire Net_30[10] = \SPIM:BSPIM:mosi_reg\[36] -Removing Lhs of wire tmpOE__m_sclk_pin_net_0[16] = tmpOE__m_miso_pin_net_0[2] -Removing Rhs of wire \SPIM:Net_276\[22] = \SPIM:Net_239\[23] -Removing Lhs of wire one[26] = tmpOE__m_miso_pin_net_0[2] -Removing Rhs of wire \SPIM:BSPIM:load_rx_data\[28] = \SPIM:BSPIM:dpcounter_one\[29] -Removing Lhs of wire \SPIM:BSPIM:pol_supprt\[30] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \SPIM:BSPIM:miso_to_dp\[31] = \SPIM:Net_244\[32] -Removing Lhs of wire \SPIM:Net_244\[32] = Net_20[4] -Removing Rhs of wire \SPIM:BSPIM:tx_status_1\[58] = \SPIM:BSPIM:dpMOSI_fifo_empty\[59] -Removing Rhs of wire \SPIM:BSPIM:tx_status_2\[60] = \SPIM:BSPIM:dpMOSI_fifo_not_full\[61] -Removing Lhs of wire \SPIM:BSPIM:tx_status_3\[62] = \SPIM:BSPIM:load_rx_data\[28] -Removing Rhs of wire \SPIM:BSPIM:rx_status_4\[64] = \SPIM:BSPIM:dpMISO_fifo_full\[65] -Removing Rhs of wire \SPIM:BSPIM:rx_status_5\[66] = \SPIM:BSPIM:dpMISO_fifo_not_empty\[67] -Removing Lhs of wire \SPIM:BSPIM:tx_status_6\[69] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:tx_status_5\[70] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:rx_status_3\[71] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:rx_status_2\[72] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:rx_status_1\[73] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:rx_status_0\[74] = zero[3] -Removing Lhs of wire \SPIM:Net_273\[85] = zero[3] -Removing Lhs of wire \SPIM:Net_274\[123] = zero[3] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_6\[125] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_5\[126] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_4\[127] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_3\[128] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_2\[129] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_1\[130] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \LCD:tmpOE__LCDPort_net_0\[131] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire tmpOE__m_ss_pin_net_0[149] = tmpOE__m_miso_pin_net_0[2] -Removing Lhs of wire \SPIM:BSPIM:so_send_reg\\D\[155] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:mosi_pre_reg\\D\[161] = zero[3] -Removing Lhs of wire \SPIM:BSPIM:dpcounter_one_reg\\D\[163] = \SPIM:BSPIM:load_rx_data\[28] -Removing Lhs of wire \SPIM:BSPIM:mosi_from_dp_reg\\D\[164] = \SPIM:BSPIM:mosi_from_dp\[42] -Removing Lhs of wire \SPIM:BSPIM:ld_ident\\D\[165] = zero[3] - ------------------------------------------------------- -Aliased 0 equations, 35 wires. ------------------------------------------------------- - ----------------------------------------------------------- -Circuit simplification ----------------------------------------------------------- - -Substituting virtuals - pass 1: - -Note: Expanding virtual equation for 'tmpOE__m_miso_pin_net_0' (cost = 0): -tmpOE__m_miso_pin_net_0 <= ('1') ; - -Note: Expanding virtual equation for 'zero' (cost = 0): -zero <= ('0') ; - -Note: Expanding virtual equation for '\SPIM:BSPIM:load_rx_data\' (cost = 1): -\SPIM:BSPIM:load_rx_data\ <= ((not \SPIM:BSPIM:count_4\ and not \SPIM:BSPIM:count_3\ and not \SPIM:BSPIM:count_2\ and not \SPIM:BSPIM:count_1\ and \SPIM:BSPIM:count_0\)); - - -Substituting virtuals - pass 2: - - ----------------------------------------------------------- -Circuit simplification results: - - Expanded 3 signals. - Turned 0 signals into soft nodes. - Maximum default expansion cost was set at 5. ----------------------------------------------------------- - ------------------------------------------------------- -Alias Detection ------------------------------------------------------- - ------------------------------------------------------- -Aliased 0 equations, 0 wires. ------------------------------------------------------- - -Last attempt to remove unused logic - pass 1: - - -Last attempt to remove unused logic - pass 2: - - -topld: No errors. - -CYPRESS_DIR : C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp -Warp Program : C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\bin/warp.exe -Warp Arguments : -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -dcpsoc3 SPI_Design01.v -verilog - -Warp synthesis phase: Elapsed time ==> 1s.019ms - - -cyp3fit: V2.1.0.1118, Family: PSoC3, Started at: Wednesday, 16 January 2013 14:35:46 -Options: -yv2 -v5 -yga -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -d CY8C5568AXI-060 SPI_Design01.v -verilog - - -Design parsing phase: Elapsed time ==> 0s.015ms - - - - Converted constant MacroCell: \SPIM:BSPIM:ld_ident\ from registered to combinatorial - Converted constant MacroCell: \SPIM:BSPIM:mosi_pre_reg\ from registered to combinatorial - Converted constant MacroCell: \SPIM:BSPIM:so_send_reg\ from registered to combinatorial - -Removing unused cells resulting from optimization - Removed unused cell/equation '\SPIM:BSPIM:dpcounter_one_reg\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:ld_ident\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:mosi_from_dp_reg\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:mosi_pre_reg\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:so_send_reg\:macrocell' -Done removing unused cells. - - Digital Clock 0: Automatic-assigning clock 'SPIM_IntClock'. Fanout=1, Signal=\SPIM:Net_276\ - - - UDB Clk/Enable \SPIM:BSPIM:ClkEn\: with output requested to be synchronous - ClockIn: SPIM_IntClock was determined to be a global clock that is synchronous to BUS_CLK - EnableIn: Constant 1 was determined to be synchronous to ClockIn - ClockOut: SPIM_IntClock, EnableOut: Constant 1 - - -Removing unused cells resulting from optimization - Removed unused cell/equation 'Net_107D:macrocell' - Removed unused cell/equation 'Net_31D:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:cnt_enable\\D\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:load_cond\\D\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:mosi_reg\\D\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:state_0\\D\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:state_1\\D\:macrocell' - Removed unused cell/equation '\SPIM:BSPIM:state_2\\D\:macrocell' - Removed unused cell/equation '__ZERO__:macrocell' -Done removing unused cells. - - - - - - - ------------------------------------------------------------- -Design Equations ------------------------------------------------------------- - - - ------------------------------------------------------------ - Pin listing - ------------------------------------------------------------ - - Pin : Name = \LCD:LCDPort(0)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(0)_PAD\ ); - Properties: - { - } - - Pin : Name = \LCD:LCDPort(1)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(1)_PAD\ ); - Properties: - { - } - - Pin : Name = \LCD:LCDPort(2)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(2)_PAD\ ); - Properties: - { - } - - Pin : Name = \LCD:LCDPort(3)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(3)_PAD\ ); - Properties: - { - } - - Pin : Name = \LCD:LCDPort(4)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(4)_PAD\ ); - Properties: - { - } - - Pin : Name = \LCD:LCDPort(5)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(5)_PAD\ ); - Properties: - { - } - - Pin : Name = \LCD:LCDPort(6)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(6)_PAD\ ); - Properties: - { - } - - Pin : Name = m_miso_pin(0) - Attributes: - In Group/Port: True - In Sync Option: NOSYNC - Out Sync Option: AUTO - Interrupt generated: False - Interrupt mode: NONE - Drive mode: HI_Z_DIGITAL - VTrip: CMOS - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - fb => Net_20 , - pad => m_miso_pin(0)_PAD ); - Properties: - { - } - - Pin : Name = m_mosi_pin(0) - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - input => Net_30 , - pad => m_mosi_pin(0)_PAD ); - Properties: - { - } - - Pin : Name = m_sclk_pin(0) - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - input => Net_31 , - pad => m_sclk_pin(0)_PAD ); - Properties: - { - } - - Pin : Name = m_ss_pin(0) - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - input => Net_107 , - pad => m_ss_pin(0)_PAD ); - Properties: - { - } - - - - ------------------------------------------------------------ - Macrocell listing - ------------------------------------------------------------ - - MacroCell: Name=Net_107, Mode=(D-Register) - Total # of inputs : 4 - Total # of product terms : 3 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 3 pterms - !( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * !Net_107 - + \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * !Net_107 - ); - Output = Net_107 (fanout=2) - - MacroCell: Name=Net_30, Mode=(D-Register) - Total # of inputs : 5 - Total # of product terms : 3 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 3 pterms - ( - Net_30 * !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_0\ - + Net_30 * \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * \SPIM:BSPIM:mosi_from_dp\ - ); - Output = Net_30 (fanout=2) - - MacroCell: Name=Net_31, Mode=(D-Register) - Total # of inputs : 3 - Total # of product terms : 1 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 1 pterm - !( - !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - ); - Output = Net_31 (fanout=1) - - MacroCell: Name=\SPIM:BSPIM:cnt_enable\, Mode=(T-Register) - Total # of inputs : 9 - Total # of product terms : 5 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 5 pterms - ( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * \SPIM:BSPIM:cnt_enable\ - + !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:cnt_enable\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:cnt_enable\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_0\ * - \SPIM:BSPIM:cnt_enable\ - + \SPIM:BSPIM:state_1\ * \SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ * \SPIM:BSPIM:cnt_enable\ - ); - Output = \SPIM:BSPIM:cnt_enable\ (fanout=2) - - MacroCell: Name=\SPIM:BSPIM:load_cond\, Mode=(T-Register) - Total # of inputs : 9 - Total # of product terms : 4 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 4 pterms - ( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - \SPIM:BSPIM:load_cond\ - + \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:load_cond\ - + \SPIM:BSPIM:state_1\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - \SPIM:BSPIM:load_cond\ - + \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - \SPIM:BSPIM:load_cond\ - ); - Output = \SPIM:BSPIM:load_cond\ (fanout=1) - - MacroCell: Name=\SPIM:BSPIM:load_rx_data\, Mode=(Combinatorial) - Total # of inputs : 5 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ - ); - Output = \SPIM:BSPIM:load_rx_data\ (fanout=2) - - MacroCell: Name=\SPIM:BSPIM:rx_status_6\, Mode=(Combinatorial) - Total # of inputs : 6 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ * \SPIM:BSPIM:rx_status_4\ - ); - Output = \SPIM:BSPIM:rx_status_6\ (fanout=1) - - MacroCell: Name=\SPIM:BSPIM:state_0\, Mode=(T-Register) - Total # of inputs : 9 - Total # of product terms : 4 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 4 pterms - !( - !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * \SPIM:BSPIM:count_0\ - + \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_1\ * !\SPIM:BSPIM:state_0\ * - \SPIM:BSPIM:tx_status_1\ - + \SPIM:BSPIM:state_1\ * !\SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * \SPIM:BSPIM:count_1\ * - !\SPIM:BSPIM:count_0\ * !\SPIM:BSPIM:tx_status_1\ - ); - Output = \SPIM:BSPIM:state_0\ (fanout=11) - - MacroCell: Name=\SPIM:BSPIM:state_1\, Mode=(D-Register) - Total # of inputs : 9 - Total # of product terms : 5 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 5 pterms - !( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * \SPIM:BSPIM:count_1\ * - !\SPIM:BSPIM:count_0\ * !\SPIM:BSPIM:tx_status_1\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_0\ - + \SPIM:BSPIM:state_1\ * \SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ - ); - Output = \SPIM:BSPIM:state_1\ (fanout=11) - - MacroCell: Name=\SPIM:BSPIM:state_2\, Mode=(D-Register) - Total # of inputs : 9 - Total # of product terms : 2 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 2 pterms - ( - !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - \SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - !\SPIM:BSPIM:tx_status_1\ - + !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * \SPIM:BSPIM:count_0\ - ); - Output = \SPIM:BSPIM:state_2\ (fanout=11) - - MacroCell: Name=\SPIM:BSPIM:tx_status_0\, Mode=(Combinatorial) - Total # of inputs : 3 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ - ); - Output = \SPIM:BSPIM:tx_status_0\ (fanout=1) - - MacroCell: Name=\SPIM:BSPIM:tx_status_4\, Mode=(Combinatorial) - Total # of inputs : 3 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - ); - Output = \SPIM:BSPIM:tx_status_4\ (fanout=1) - - - - ------------------------------------------------------------ - Datapath listing - ------------------------------------------------------------ - - datapathcell: Name =\SPIM:BSPIM:sR8:Dp:u0\ - PORT MAP ( - clock => \SPIM:Net_276\ , - cs_addr_2 => \SPIM:BSPIM:state_2\ , - cs_addr_1 => \SPIM:BSPIM:state_1\ , - cs_addr_0 => \SPIM:BSPIM:state_0\ , - route_si => Net_20 , - f1_load => \SPIM:BSPIM:load_rx_data\ , - so_comb => \SPIM:BSPIM:mosi_from_dp\ , - f0_bus_stat_comb => \SPIM:BSPIM:tx_status_2\ , - f0_blk_stat_comb => \SPIM:BSPIM:tx_status_1\ , - f1_bus_stat_comb => \SPIM:BSPIM:rx_status_5\ , - f1_blk_stat_comb => \SPIM:BSPIM:rx_status_4\ ); - Properties: - { - a0_init = "00000000" - a1_init = "00000000" - ce0_sync = 1 - ce1_sync = 1 - cl0_sync = 1 - cl1_sync = 1 - cmsb_sync = 1 - co_msb_sync = 1 - cy_dpconfig = "0000000000000000000000001100000000000001010000000001000100010000000000011100000000010001000000000000000101000000000000010100000011111111000000001111111111111111000000000010001000001100111100000000000000000100" - d0_init = "00000000" - d1_init = "00000000" - f0_blk_sync = 1 - f0_bus_sync = 1 - f1_blk_sync = 1 - f1_bus_sync = 1 - ff0_sync = 1 - ff1_sync = 1 - ov_msb_sync = 1 - so_sync = 1 - z0_sync = 1 - z1_sync = 1 - } - Clock Polarity: Active High - Clock Enable: True - - - - - - ------------------------------------------------------------ - StatusI register listing - ------------------------------------------------------------ - - statusicell: Name =\SPIM:BSPIM:RxStsReg\ - PORT MAP ( - clock => \SPIM:Net_276\ , - status_6 => \SPIM:BSPIM:rx_status_6\ , - status_5 => \SPIM:BSPIM:rx_status_5\ , - status_4 => \SPIM:BSPIM:rx_status_4\ ); - Properties: - { - cy_force_order = 1 - cy_int_mask = "0000000" - cy_md_select = "1000000" - } - Clock Polarity: Active High - Clock Enable: True - - statusicell: Name =\SPIM:BSPIM:TxStsReg\ - PORT MAP ( - clock => \SPIM:Net_276\ , - status_4 => \SPIM:BSPIM:tx_status_4\ , - status_3 => \SPIM:BSPIM:load_rx_data\ , - status_2 => \SPIM:BSPIM:tx_status_2\ , - status_1 => \SPIM:BSPIM:tx_status_1\ , - status_0 => \SPIM:BSPIM:tx_status_0\ ); - Properties: - { - cy_force_order = 1 - cy_int_mask = "0000000" - cy_md_select = "0001001" - } - Clock Polarity: Active High - Clock Enable: True - - - - - - - - ------------------------------------------------------------ - Count7 listing - ------------------------------------------------------------ - - count7cell: Name =\SPIM:BSPIM:BitCounter\ - PORT MAP ( - clock => \SPIM:Net_276\ , - enable => \SPIM:BSPIM:cnt_enable\ , - count_6 => \SPIM:BSPIM:count_6\ , - count_5 => \SPIM:BSPIM:count_5\ , - count_4 => \SPIM:BSPIM:count_4\ , - count_3 => \SPIM:BSPIM:count_3\ , - count_2 => \SPIM:BSPIM:count_2\ , - count_1 => \SPIM:BSPIM:count_1\ , - count_0 => \SPIM:BSPIM:count_0\ , - tc => \SPIM:BSPIM:cnt_tc\ ); - Properties: - { - cy_alt_mode = 0 - cy_init_value = "0000000" - cy_period = "0001111" - cy_route_en = 1 - cy_route_ld = 0 - } - Clock Polarity: Active High - Clock Enable: True - - - - - - - - ------------------------------------------------------------- -Technology mapping summary ------------------------------------------------------------- - -Resource Type : Used : Free : Max : % Used -============================================================ -Digital domain clock dividers : 1 : 7 : 8 : 12.50% -Analog domain clock dividers : 0 : 4 : 4 : 0.00% -Pins : 14 : 56 : 70 : 20.00% -Macrocells : 12 : 180 : 192 : 6.25% -Unique Pterms : 29 : 355 : 384 : 7.55% -Total Pterms : 31 : : : -Datapath Cells : 1 : 23 : 24 : 4.17% -Status Cells : 2 : 22 : 24 : 8.33% - StatusI Registers : 2 -Control Cells : 1 : 23 : 24 : 4.17% - Count7 Cells : 1 -DMA Channels : 0 : 24 : 24 : 0.00% -Interrupts : 0 : 32 : 32 : 0.00% -DSM Fixed Blocks : 0 : 1 : 1 : 0.00% -VIDAC Fixed Blocks : 0 : 4 : 4 : 0.00% -SC Fixed Blocks : 0 : 4 : 4 : 0.00% -Comparator Fixed Blocks : 0 : 4 : 4 : 0.00% -Opamp Fixed Blocks : 0 : 4 : 4 : 0.00% -CapSense Buffers : 0 : 2 : 2 : 0.00% -CAN Fixed Blocks : 0 : 1 : 1 : 0.00% -Decimator Fixed Blocks : 0 : 1 : 1 : 0.00% -I2C Fixed Blocks : 0 : 1 : 1 : 0.00% -Timer Fixed Blocks : 0 : 4 : 4 : 0.00% -DFB Fixed Blocks : 0 : 1 : 1 : 0.00% -USB Fixed Blocks : 0 : 1 : 1 : 0.00% -LCD Fixed Blocks : 0 : 1 : 1 : 0.00% -EMIF Fixed Blocks : 0 : 1 : 1 : 0.00% -LPF Fixed Blocks : 0 : 2 : 2 : 0.00% -SAR Fixed Blocks : 0 : 2 : 2 : 0.00% - -Technology Mapping: Elapsed time ==> 0s.043ms -Info: mpr.M0037: Unused pieces of the design have been optimized out. See the Tech mapping section of the report file for details. (App=cydsfit) -Tech mapping phase: Elapsed time ==> 0s.167ms - - -Initial Analog Placement Results: -IO_0@[IOP=(2)][IoId=(0)] : \LCD:LCDPort(0)\ (fixed) -IO_1@[IOP=(2)][IoId=(1)] : \LCD:LCDPort(1)\ (fixed) -IO_2@[IOP=(2)][IoId=(2)] : \LCD:LCDPort(2)\ (fixed) -IO_3@[IOP=(2)][IoId=(3)] : \LCD:LCDPort(3)\ (fixed) -IO_4@[IOP=(2)][IoId=(4)] : \LCD:LCDPort(4)\ (fixed) -IO_5@[IOP=(2)][IoId=(5)] : \LCD:LCDPort(5)\ (fixed) -IO_6@[IOP=(2)][IoId=(6)] : \LCD:LCDPort(6)\ (fixed) -IO_0@[IOP=(0)][IoId=(0)] : m_miso_pin(0) (fixed) -IO_5@[IOP=(0)][IoId=(5)] : m_mosi_pin(0) (fixed) -IO_6@[IOP=(0)][IoId=(6)] : m_sclk_pin(0) (fixed) -IO_7@[IOP=(0)][IoId=(7)] : m_ss_pin(0) (fixed) -Analog Placement phase: Elapsed time ==> 0s.038ms - - -============ VeraRouter Final Answer Routes ============ -Analog Routing phase: Elapsed time ==> 0s.000ms - - -Analog Code Generation phase: Elapsed time ==> 0s.537ms - - - - - ------------------------------------------------------------- -PLD Packing Summary ------------------------------------------------------------- - Resource Type : Used : Free : Max : % Used - ==================================================== - PLDs : 4 : 44 : 48 : 8.33% - - - - PLD Resource Type : Average/LAB - ======================================= - Inputs : 10.00 - Pterms : 7.25 - Macrocells : 3.00 - - -Packed PLD Contents not displayed at this verbose level. - -PLD Packing: Elapsed time ==> 0s.001ms - - - -Initial Partitioning Summary not displayed at this verbose level. - -Final Partitioning Summary not displayed at this verbose level. -Partitioning: Elapsed time ==> 0s.022ms - - -Annealing: Elapsed time ==> 0s.001ms - -The seed used for moves was 114161200. -Inital cost was 91, final cost is 91 (0.00% improvement). - - - ------------------------------------------------------------- -Final Placement Summary ------------------------------------------------------------- - - Resource Type : Count : Avg Inputs : Avg Outputs - ======================================================== - UDB : 3 : 7.67 : 4.00 - - - ------------------------------------------------------------- -Component Placement Details ------------------------------------------------------------- -UDB [UDB=(0,0)] is empty. -UDB [UDB=(0,1)] is empty. -UDB [UDB=(0,2)] is empty. -UDB [UDB=(0,3)] is empty. -UDB [UDB=(0,4)] contents: -LAB@[UDB=(0,4)][LB=0] #macrocells=3, #inputs=10, #pterms=7 -{ - [McSlotId=0]: MacroCell: Name=\SPIM:BSPIM:cnt_enable\, Mode=(T-Register) @ [UDB=(0,4)][LB=0][MC=0] - Total # of inputs : 9 - Total # of product terms : 5 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 5 pterms - ( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * \SPIM:BSPIM:cnt_enable\ - + !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:cnt_enable\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:cnt_enable\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_0\ * - \SPIM:BSPIM:cnt_enable\ - + \SPIM:BSPIM:state_1\ * \SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ * \SPIM:BSPIM:cnt_enable\ - ); - Output = \SPIM:BSPIM:cnt_enable\ (fanout=3) - Properties : - { - } - - [McSlotId=1]: MacroCell: Name=\SPIM:BSPIM:load_rx_data\, Mode=(Combinatorial) @ [UDB=(0,4)][LB=0][MC=1] - Total # of inputs : 5 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ - ); - Output = \SPIM:BSPIM:load_rx_data\ (fanout=2) - Properties : - { - } - - [McSlotId=2]: MacroCell: Name=\SPIM:BSPIM:rx_status_6\, Mode=(Combinatorial) @ [UDB=(0,4)][LB=0][MC=2] - Total # of inputs : 6 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ * \SPIM:BSPIM:rx_status_4\ - ); - Output = \SPIM:BSPIM:rx_status_6\ (fanout=1) - Properties : - { - } - - [McSlotId=3]: (empty) -} - -LAB@[UDB=(0,4)][LB=1] #macrocells=2, #inputs=11, #pterms=7 -{ - [McSlotId=0]: MacroCell: Name=\SPIM:BSPIM:load_cond\, Mode=(T-Register) @ [UDB=(0,4)][LB=1][MC=0] - Total # of inputs : 9 - Total # of product terms : 4 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 4 pterms - ( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - \SPIM:BSPIM:load_cond\ - + \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:load_cond\ - + \SPIM:BSPIM:state_1\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - \SPIM:BSPIM:load_cond\ - + \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - \SPIM:BSPIM:load_cond\ - ); - Output = \SPIM:BSPIM:load_cond\ (fanout=1) - Properties : - { - } - - [McSlotId=1]: MacroCell: Name=Net_30, Mode=(D-Register) @ [UDB=(0,4)][LB=1][MC=1] - Total # of inputs : 5 - Total # of product terms : 3 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 3 pterms - ( - Net_30 * !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_0\ - + Net_30 * \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * \SPIM:BSPIM:mosi_from_dp\ - ); - Output = Net_30 (fanout=2) - Properties : - { - } - - [McSlotId=2]: (empty) - [McSlotId=3]: (empty) -} - -statusicell: Name =\SPIM:BSPIM:RxStsReg\ - PORT MAP ( - clock => \SPIM:Net_276\ , - status_6 => \SPIM:BSPIM:rx_status_6\ , - status_5 => \SPIM:BSPIM:rx_status_5\ , - status_4 => \SPIM:BSPIM:rx_status_4\ ); - Properties: - { - cy_force_order = 1 - cy_int_mask = "0000000" - cy_md_select = "1000000" - } - Clock Polarity: Active High - Clock Enable: True - -UDB [UDB=(0,5)] contents: -LAB@[UDB=(0,5)][LB=0] #macrocells=4, #inputs=9, #pterms=7 -{ - [McSlotId=0]: MacroCell: Name=\SPIM:BSPIM:state_2\, Mode=(D-Register) @ [UDB=(0,5)][LB=0][MC=0] - Total # of inputs : 9 - Total # of product terms : 2 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 2 pterms - ( - !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - \SPIM:BSPIM:count_1\ * !\SPIM:BSPIM:count_0\ * - !\SPIM:BSPIM:tx_status_1\ - + !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * \SPIM:BSPIM:count_0\ - ); - Output = \SPIM:BSPIM:state_2\ (fanout=11) - Properties : - { - } - - [McSlotId=1]: MacroCell: Name=\SPIM:BSPIM:tx_status_0\, Mode=(Combinatorial) @ [UDB=(0,5)][LB=0][MC=1] - Total # of inputs : 3 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ - ); - Output = \SPIM:BSPIM:tx_status_0\ (fanout=1) - Properties : - { - } - - [McSlotId=2]: MacroCell: Name=\SPIM:BSPIM:state_0\, Mode=(T-Register) @ [UDB=(0,5)][LB=0][MC=2] - Total # of inputs : 9 - Total # of product terms : 4 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 4 pterms - !( - !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ * !\SPIM:BSPIM:count_4\ * - !\SPIM:BSPIM:count_3\ * !\SPIM:BSPIM:count_2\ * - !\SPIM:BSPIM:count_1\ * \SPIM:BSPIM:count_0\ - + \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_1\ * !\SPIM:BSPIM:state_0\ * - \SPIM:BSPIM:tx_status_1\ - + \SPIM:BSPIM:state_1\ * !\SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * \SPIM:BSPIM:count_1\ * - !\SPIM:BSPIM:count_0\ * !\SPIM:BSPIM:tx_status_1\ - ); - Output = \SPIM:BSPIM:state_0\ (fanout=11) - Properties : - { - } - - [McSlotId=3]: MacroCell: Name=Net_31, Mode=(D-Register) @ [UDB=(0,5)][LB=0][MC=3] - Total # of inputs : 3 - Total # of product terms : 1 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 1 pterm - !( - !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - ); - Output = Net_31 (fanout=1) - Properties : - { - } -} - -LAB@[UDB=(0,5)][LB=1] #macrocells=3, #inputs=10, #pterms=8 -{ - [McSlotId=0]: MacroCell: Name=\SPIM:BSPIM:state_1\, Mode=(D-Register) @ [UDB=(0,5)][LB=1][MC=0] - Total # of inputs : 9 - Total # of product terms : 5 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 5 pterms - !( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * \SPIM:BSPIM:count_1\ * - !\SPIM:BSPIM:count_0\ * !\SPIM:BSPIM:tx_status_1\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ - + \SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_0\ - + \SPIM:BSPIM:state_1\ * \SPIM:BSPIM:state_0\ * - !\SPIM:BSPIM:count_4\ * !\SPIM:BSPIM:count_3\ * - !\SPIM:BSPIM:count_2\ * !\SPIM:BSPIM:count_1\ * - \SPIM:BSPIM:count_0\ - ); - Output = \SPIM:BSPIM:state_1\ (fanout=11) - Properties : - { - } - - [McSlotId=1]: MacroCell: Name=Net_107, Mode=(D-Register) @ [UDB=(0,5)][LB=1][MC=1] - Total # of inputs : 4 - Total # of product terms : 3 - List of special equations: - Clock = (\SPIM:Net_276\) => Global - Clock Enable: True - Main Equation : 3 pterms - !( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - \SPIM:BSPIM:state_0\ - + !\SPIM:BSPIM:state_2\ * \SPIM:BSPIM:state_1\ * !Net_107 - + \SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * !Net_107 - ); - Output = Net_107 (fanout=2) - Properties : - { - } - - [McSlotId=2]: MacroCell: Name=\SPIM:BSPIM:tx_status_4\, Mode=(Combinatorial) @ [UDB=(0,5)][LB=1][MC=2] - Total # of inputs : 3 - Total # of product terms : 1 - Clock Enable: True - Main Equation : 1 pterm - ( - !\SPIM:BSPIM:state_2\ * !\SPIM:BSPIM:state_1\ * - !\SPIM:BSPIM:state_0\ - ); - Output = \SPIM:BSPIM:tx_status_4\ (fanout=1) - Properties : - { - } - - [McSlotId=3]: (empty) -} - -datapathcell: Name =\SPIM:BSPIM:sR8:Dp:u0\ - PORT MAP ( - clock => \SPIM:Net_276\ , - cs_addr_2 => \SPIM:BSPIM:state_2\ , - cs_addr_1 => \SPIM:BSPIM:state_1\ , - cs_addr_0 => \SPIM:BSPIM:state_0\ , - route_si => Net_20 , - f1_load => \SPIM:BSPIM:load_rx_data\ , - so_comb => \SPIM:BSPIM:mosi_from_dp\ , - f0_bus_stat_comb => \SPIM:BSPIM:tx_status_2\ , - f0_blk_stat_comb => \SPIM:BSPIM:tx_status_1\ , - f1_bus_stat_comb => \SPIM:BSPIM:rx_status_5\ , - f1_blk_stat_comb => \SPIM:BSPIM:rx_status_4\ ); - Properties: - { - a0_init = "00000000" - a1_init = "00000000" - ce0_sync = 1 - ce1_sync = 1 - cl0_sync = 1 - cl1_sync = 1 - cmsb_sync = 1 - co_msb_sync = 1 - cy_dpconfig = "0000000000000000000000001100000000000001010000000001000100010000000000011100000000010001000000000000000101000000000000010100000011111111000000001111111111111111000000000010001000001100111100000000000000000100" - d0_init = "00000000" - d1_init = "00000000" - f0_blk_sync = 1 - f0_bus_sync = 1 - f1_blk_sync = 1 - f1_bus_sync = 1 - ff0_sync = 1 - ff1_sync = 1 - ov_msb_sync = 1 - so_sync = 1 - z0_sync = 1 - z1_sync = 1 - } - Clock Polarity: Active High - Clock Enable: True - -count7cell: Name =\SPIM:BSPIM:BitCounter\ - PORT MAP ( - clock => \SPIM:Net_276\ , - enable => \SPIM:BSPIM:cnt_enable\ , - count_6 => \SPIM:BSPIM:count_6\ , - count_5 => \SPIM:BSPIM:count_5\ , - count_4 => \SPIM:BSPIM:count_4\ , - count_3 => \SPIM:BSPIM:count_3\ , - count_2 => \SPIM:BSPIM:count_2\ , - count_1 => \SPIM:BSPIM:count_1\ , - count_0 => \SPIM:BSPIM:count_0\ , - tc => \SPIM:BSPIM:cnt_tc\ ); - Properties: - { - cy_alt_mode = 0 - cy_init_value = "0000000" - cy_period = "0001111" - cy_route_en = 1 - cy_route_ld = 0 - } - Clock Polarity: Active High - Clock Enable: True - -UDB [UDB=(1,0)] is empty. -UDB [UDB=(1,1)] is empty. -UDB [UDB=(1,2)] is empty. -UDB [UDB=(1,3)] is empty. -UDB [UDB=(1,4)] contents: -statusicell: Name =\SPIM:BSPIM:TxStsReg\ - PORT MAP ( - clock => \SPIM:Net_276\ , - status_4 => \SPIM:BSPIM:tx_status_4\ , - status_3 => \SPIM:BSPIM:load_rx_data\ , - status_2 => \SPIM:BSPIM:tx_status_2\ , - status_1 => \SPIM:BSPIM:tx_status_1\ , - status_0 => \SPIM:BSPIM:tx_status_0\ ); - Properties: - { - cy_force_order = 1 - cy_int_mask = "0000000" - cy_md_select = "0001001" - } - Clock Polarity: Active High - Clock Enable: True - -UDB [UDB=(1,5)] is empty. -UDB [UDB=(2,0)] is empty. -UDB [UDB=(2,1)] is empty. -UDB [UDB=(2,2)] is empty. -UDB [UDB=(2,3)] is empty. -UDB [UDB=(2,4)] is empty. -UDB [UDB=(2,5)] is empty. -UDB [UDB=(3,0)] is empty. -UDB [UDB=(3,1)] is empty. -UDB [UDB=(3,2)] is empty. -UDB [UDB=(3,3)] is empty. -UDB [UDB=(3,4)] is empty. -UDB [UDB=(3,5)] is empty. -Intr hod @ [IntrHod=(0)]: empty -Drq hod @ [DrqHod=(0)]: empty -Port 0 contains the following IO cells: -[IoId=0]: -Pin : Name = m_miso_pin(0) - Attributes: - In Group/Port: True - In Sync Option: NOSYNC - Out Sync Option: AUTO - Interrupt generated: False - Interrupt mode: NONE - Drive mode: HI_Z_DIGITAL - VTrip: CMOS - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - fb => Net_20 , - pad => m_miso_pin(0)_PAD ); - Properties: - { - } - -[IoId=5]: -Pin : Name = m_mosi_pin(0) - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - input => Net_30 , - pad => m_mosi_pin(0)_PAD ); - Properties: - { - } - -[IoId=6]: -Pin : Name = m_sclk_pin(0) - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - input => Net_31 , - pad => m_sclk_pin(0)_PAD ); - Properties: - { - } - -[IoId=7]: -Pin : Name = m_ss_pin(0) - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: True - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - input => Net_107 , - pad => m_ss_pin(0)_PAD ); - Properties: - { - } - -Port 1 is empty -Port 2 contains the following IO cells: -[IoId=0]: -Pin : Name = \LCD:LCDPort(0)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(0)_PAD\ ); - Properties: - { - } - -[IoId=1]: -Pin : Name = \LCD:LCDPort(1)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(1)_PAD\ ); - Properties: - { - } - -[IoId=2]: -Pin : Name = \LCD:LCDPort(2)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(2)_PAD\ ); - Properties: - { - } - -[IoId=3]: -Pin : Name = \LCD:LCDPort(3)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(3)_PAD\ ); - Properties: - { - } - -[IoId=4]: -Pin : Name = \LCD:LCDPort(4)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(4)_PAD\ ); - Properties: - { - } - -[IoId=5]: -Pin : Name = \LCD:LCDPort(5)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(5)_PAD\ ); - Properties: - { - } - -[IoId=6]: -Pin : Name = \LCD:LCDPort(6)\ - Attributes: - In Group/Port: True - In Sync Option: AUTO - Out Sync Option: NOSYNC - Interrupt generated: False - Interrupt mode: NONE - Drive mode: CMOS_OUT - VTrip: EITHER - Slew: FAST - Input Sync needed: False - Output Sync needed: False - SC shield enabled: False - POR State: ANY - LCD Mode: COMMON - Register Mode: RegComb - CaSense Mode: NEITHER - Treat as pin: False - Is OE Registered: False - Uses Analog: False - Can contain Digital: True - Is SIO: False - SIO Output Buf: NONREGULATED - SIO Input Buf: SINGLE_ENDED - SIO HiFreq: LOW - SIO Hyst: DISABLED - SIO Vtrip: MULTIPLIER_0_5 - SIO RefSel: VCC_IO - Required Capabilitites: DIGITAL - Initial Value: 0 - IO Voltage: 0 - PORT MAP ( - pad => \LCD:LCDPort(6)_PAD\ ); - Properties: - { - } - -Port 3 is empty -Port 4 is empty -Port 5 is empty -Port 6 is empty -Port 12 is empty -Port 15 is empty -Fixed Function block hod @ [FFB(CAN,0)]: empty -Fixed Function block hod @ [FFB(Cache,0)]: empty -Fixed Function block hod @ [FFB(CapSense,0)]: empty -Fixed Function block hod @ [FFB(Clock,0)]: - Clock Block @ [FFB(Clock,0)]: - clockblockcell: Name =ClockBlock - PORT MAP ( - clk_bus_glb => ClockBlock_BUS_CLK , - clk_bus => ClockBlock_BUS_CLK_local , - clk_sync => ClockBlock_MASTER_CLK , - clk_32k_xtal => ClockBlock_XTAL_32KHZ , - xtal => ClockBlock_XTAL , - ilo => ClockBlock_ILO , - clk_100k => ClockBlock_100k , - clk_1k => ClockBlock_1k , - clk_32k => ClockBlock_32k , - pllout => ClockBlock_PLL_OUT , - imo => ClockBlock_IMO , - dclk_glb_0 => \SPIM:Net_276\ , - dclk_0 => \SPIM:Net_276_local\ ); - Properties: - { - } -Fixed Function block hod @ [FFB(Comparator,0)]: empty -Fixed Function block hod @ [FFB(DFB,0)]: empty -Fixed Function block hod @ [FFB(DSM,0)]: empty -Fixed Function block hod @ [FFB(Decimator,0)]: empty -Fixed Function block hod @ [FFB(EMIF,0)]: empty -Fixed Function block hod @ [FFB(I2C,0)]: empty -Fixed Function block hod @ [FFB(LCD,0)]: empty -Fixed Function block hod @ [FFB(LVD,0)]: empty -Fixed Function block hod @ [FFB(PM,0)]: empty -Fixed Function block hod @ [FFB(SC,0)]: empty -Fixed Function block hod @ [FFB(SPC,0)]: empty -Fixed Function block hod @ [FFB(Timer,0)]: empty -Fixed Function block hod @ [FFB(USB,0)]: empty -Fixed Function block hod @ [FFB(VIDAC,0)]: empty -Fixed Function block hod @ [FFB(Abuf,0)]: empty -Fixed Function block hod @ [FFB(CsAbuf,0)]: empty -Fixed Function block hod @ [FFB(Vref,0)]: empty -Fixed Function block hod @ [FFB(LPF,0)]: empty -Fixed Function block hod @ [FFB(SAR,0)]: empty -Fixed Function block hod @ [FFB(TimingFault,0)]: empty - - - ------------------------------------------------------------- -Port Configuration report ------------------------------------------------------------- - | | | Interrupt | | | -Port | Pin | Fixed | Type | Drive Mode | Name | Connections ------+-----+-------+-----------+------------------+------------------+------------ - 0 | 0 | * | NONE | HI_Z_DIGITAL | m_miso_pin(0) | FB(Net_20) - | 5 | * | NONE | CMOS_OUT | m_mosi_pin(0) | In(Net_30) - | 6 | * | NONE | CMOS_OUT | m_sclk_pin(0) | In(Net_31) - | 7 | * | NONE | CMOS_OUT | m_ss_pin(0) | In(Net_107) ------+-----+-------+-----------+------------------+------------------+------------ - 2 | 0 | * | NONE | CMOS_OUT | \LCD:LCDPort(0)\ | - | 1 | * | NONE | CMOS_OUT | \LCD:LCDPort(1)\ | - | 2 | * | NONE | CMOS_OUT | \LCD:LCDPort(2)\ | - | 3 | * | NONE | CMOS_OUT | \LCD:LCDPort(3)\ | - | 4 | * | NONE | CMOS_OUT | \LCD:LCDPort(4)\ | - | 5 | * | NONE | CMOS_OUT | \LCD:LCDPort(5)\ | - | 6 | * | NONE | CMOS_OUT | \LCD:LCDPort(6)\ | ----------------------------------------------------------------------------------- - -Log: plm.M0038: The pin named m_miso_pin(0) at location P0[0] prevents usage of special purposes: OpAmp:out. (App=cydsfit) -Log: plm.M0040: The pin named m_mosi_pin(0) at location P0[5] prevents a direct input connection to an Opamp. (App=cydsfit) -Log: plm.M0039: The pin named m_sclk_pin(0) at location P0[6] prevents usage of the high current (2mA) feature of an IDAC. (App=cydsfit) -Log: plm.M0039: The pin named m_ss_pin(0) at location P0[7] prevents usage of the high current (2mA) feature of an IDAC. (App=cydsfit) -Info: plm.M0037: SPI_Design01.rpt: - Certain internal analog resources use the following pins for preferred routing: P0[0], P0[5], P0[6], P0[7]. - Please check the "Final Placement Details" section of the report file (SPI_Design01.rpt) to see what resources are impacted by your pin selections. - (File=C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.rpt(1)) - - -Digital component placer commit/Report: Elapsed time ==> 0s.004ms -Digital Placement phase: Elapsed time ==> 0s.995ms - - -Routing successful. -Digital Routing phase: Elapsed time ==> 1s.791ms - - -Bitstream and API generation phase: Elapsed time ==> 0s.258ms - - -Bitstream verification phase: Elapsed time ==> 0s.074ms - - -Timing report is in SPI_Design01_timing.html. -Static timing analysis phase: Elapsed time ==> 0s.271ms - - -Data reporting phase: Elapsed time ==> 0s.000ms - - -Design database save phase: Elapsed time ==> 0s.190ms - -cydsfit: Elapsed time ==> 4s.355ms - -Fitter phase: Elapsed time ==> 4s.394ms -API generation phase: Elapsed time ==> 0s.324ms -Dependency generation phase: Elapsed time ==> 0s.004ms -Cleanup phase: Elapsed time ==> 0s.001ms diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rt_log b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rt_log deleted file mode 100644 index 6d7632b..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.rt_log +++ /dev/null @@ -1,28 +0,0 @@ - - SoftJin Router, Version 1.0 - -I1203: Reading Design SPI_Design01 -I1204: Reading netlist from file SPI_Design01_r.vh2 -I1206: Completed Reading of file SPI_Design01_r.vh2 -I1204: Reading placement from file SPI_Design01.pco -I1206: Completed Reading of file SPI_Design01.pco -I1204: Reading timing library from file SPI_Design01_r.lib -I1206: Completed Reading of file SPI_Design01_r.lib -I1204: Reading timing constraints from file SPI_Design01.sdc -I1206: Completed Reading of file SPI_Design01.sdc -I1204: Reading architecture from file C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\dev/psoc5/route_arch-rrg.cydata -I1206: Completed Reading of file C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\dev/psoc5/route_arch-rrg.cydata -I1209: Started routing -I1223: Total Nets : 25 -I1212: Iteration 1 : 20 unrouted : 0 seconds -I1212: Iteration 2 : 8 unrouted : 0 seconds -I1212: Iteration 3 : 4 unrouted : 0 seconds -I1212: Iteration 4 : 4 unrouted : 0 seconds -I1212: Iteration 5 : 4 unrouted : 0 seconds -I1212: Iteration 6 : 3 unrouted : 0 seconds -I1212: Iteration 7 : 0 unrouted : 0 seconds -I1215: Routing is successful -I1207: Completed routing -I1210: Writing routes -I1218: Exiting the router -I1224: Total Time : 2 seconds diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.sdc b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.sdc deleted file mode 100644 index a4ef32d..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.sdc +++ /dev/null @@ -1,15 +0,0 @@ -# THIS FILE IS AUTOMATICALLY GENERATED -# Project: C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -# Date: Wed, 16 Jan 2013 13:35:46 GMT -#set_units -time ns -create_clock -name {CyIMO} -period 333.33333333333331 -waveform {0 166.666666666667} [list [get_pins {ClockBlock/imo}]] -create_clock -name {CyPLL_OUT} -period 41.666666666666664 -waveform {0 20.8333333333333} [list [get_pins {ClockBlock/pllout}]] -create_clock -name {CyILO} -period 1000000 -waveform {0 500000} [list [get_pins {ClockBlock/ilo}] [get_pins {ClockBlock/clk_100k}] [get_pins {ClockBlock/clk_1k}] [get_pins {ClockBlock/clk_32k}]] -create_clock -name {CyMASTER_CLK} -period 41.666666666666664 -waveform {0 20.8333333333333} [list [get_pins {ClockBlock/clk_sync}]] -create_generated_clock -name {SPIM_IntClock} -source [get_pins {ClockBlock/clk_sync}] -edges {1 13 25} [list [get_pins {ClockBlock/dclk_glb_0}]] -create_generated_clock -name {CyBUS_CLK} -source [get_pins {ClockBlock/clk_sync}] -edges {1 2 3} [list [get_pins {ClockBlock/clk_bus_glb}]] - - -# Component constraints for C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\TopDesign\TopDesign.cysch -# Project: C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -# Date: Wed, 16 Jan 2013 13:35:44 GMT diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.svd b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.svd deleted file mode 100644 index 23c06e2..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.svd +++ /dev/null @@ -1,9 +0,0 @@ - - - CY8C5568AXI_060 - 0.1 - CY8C55 - 8 - 32 - - \ No newline at end of file diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.tr b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.tr deleted file mode 100644 index 76465d0..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.tr +++ /dev/null @@ -1,2806 +0,0 @@ -##################################################################### - Table of Contents -===================================================================== - 1::Clock Frequency Summary - 2::Clock Relationship Summary - 3::Datasheet Report - 3.1::Setup to Clock - 3.2::Clock to Out - 3.3::Pad to Pad - 4::Path Details for Clock Frequency Summary - 4.1::Critical Path Report for SPIM_IntClock - 5::Path Details for Clock Relationship Summary - 5.1::Critical Path Report for (SPIM_IntClock:R vs. SPIM_IntClock:R) -===================================================================== - End of Table of Contents -##################################################################### - -##################################################################### - 1::Clock Frequency Summary -===================================================================== -Number of clocks: 6 -Clock: CyBUS_CLK | N/A | Target: 24.00 MHz | -Clock: CyILO | N/A | Target: 0.00 MHz | -Clock: CyIMO | N/A | Target: 3.00 MHz | -Clock: CyMASTER_CLK | N/A | Target: 24.00 MHz | -Clock: CyPLL_OUT | N/A | Target: 24.00 MHz | -Clock: SPIM_IntClock | Frequency: 62.07 MHz | Target: 2.00 MHz | - - ===================================================================== - End of Clock Frequency Summary - ##################################################################### - - - ##################################################################### - 2::Clock Relationship Summary - ===================================================================== - -Launch Clock Capture Clock Constraint(R-R) Slack(R-R) Constraint(R-F) Slack(R-F) Constraint(F-F) Slack(F-F) Constraint(F-R) Slack(F-R) -------------- ------------- --------------- ---------- --------------- ---------- --------------- ---------- --------------- ---------- -SPIM_IntClock SPIM_IntClock 500000 483888 N/A N/A N/A N/A N/A N/A - - ===================================================================== - End of Clock Relationship Summary - ##################################################################### - - - ##################################################################### - 3::Datasheet Report - -All values are in Picoseconds - ===================================================================== - -3.1::Setup to Clock -------------------- - -Port Name Setup to Clk Clock Name:Phase ------------------ ------------ ---------------- -m_miso_pin(0)_PAD 40184 SPIM_IntClock:R - - - 3.2::Clock to Out - ----------------- - -Port Name Clock to Out Clock Name:Phase ------------------ ------------ ---------------- -m_mosi_pin(0)_PAD 26096 SPIM_IntClock:R -m_sclk_pin(0)_PAD 25455 SPIM_IntClock:R -m_ss_pin(0)_PAD 26426 SPIM_IntClock:R - - - 3.3::Pad to Pad - --------------- - -Port Name (Source) Port Name (Destination) Delay ------------------- ----------------------- ----- - -===================================================================== - End of Datasheet Report -##################################################################### -##################################################################### - 4::Path Details for Clock Frequency Summary -===================================================================== -4.1::Critical Path Report for SPIM_IntClock -******************************************* -Clock: SPIM_IntClock -Frequency: 62.07 MHz | Target: 2.00 MHz - -++++ Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb -Path End : \SPIM:BSPIM:RxStsReg\/status_6 -Capture Clock : \SPIM:BSPIM:RxStsReg\/clock -Path slack : 483888p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -1570 --------------------------------------------------------- ------ -End-of-path required time (ps) 498430 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 14542 -------------------------------------- ----- -End-of-path arrival time (ps) 14542 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb datapathcell1 5280 5280 483888 RISE 1 -\SPIM:BSPIM:rx_status_6\/main_5 macrocell7 3604 8884 483888 RISE 1 -\SPIM:BSPIM:rx_status_6\/q macrocell7 3350 12234 483888 RISE 1 -\SPIM:BSPIM:RxStsReg\/status_6 statusicell1 2308 14542 483888 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:RxStsReg\/clock statusicell1 0 0 RISE 1 - - - -===================================================================== - End of Path Details for Clock Frequency Summary -##################################################################### - - -##################################################################### - 5::Path Details for Clock Relationship Summary -===================================================================== - -5.1::Critical Path Report for (SPIM_IntClock:R vs. SPIM_IntClock:R) -******************************************************************* - -++++ Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb -Path End : \SPIM:BSPIM:RxStsReg\/status_6 -Capture Clock : \SPIM:BSPIM:RxStsReg\/clock -Path slack : 483888p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -1570 --------------------------------------------------------- ------ -End-of-path required time (ps) 498430 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 14542 -------------------------------------- ----- -End-of-path arrival time (ps) 14542 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb datapathcell1 5280 5280 483888 RISE 1 -\SPIM:BSPIM:rx_status_6\/main_5 macrocell7 3604 8884 483888 RISE 1 -\SPIM:BSPIM:rx_status_6\/q macrocell7 3350 12234 483888 RISE 1 -\SPIM:BSPIM:RxStsReg\/status_6 statusicell1 2308 14542 483888 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:RxStsReg\/clock statusicell1 0 0 RISE 1 - - - -===================================================================== - End of Path Details for Clock Relationship Summary -##################################################################### - -##################################################################### - Detailed Report for all timing paths -===================================================================== - -++++ Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb -Path End : \SPIM:BSPIM:RxStsReg\/status_6 -Capture Clock : \SPIM:BSPIM:RxStsReg\/clock -Path slack : 483888p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -1570 --------------------------------------------------------- ------ -End-of-path required time (ps) 498430 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 14542 -------------------------------------- ----- -End-of-path arrival time (ps) 14542 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb datapathcell1 5280 5280 483888 RISE 1 -\SPIM:BSPIM:rx_status_6\/main_5 macrocell7 3604 8884 483888 RISE 1 -\SPIM:BSPIM:rx_status_6\/q macrocell7 3350 12234 483888 RISE 1 -\SPIM:BSPIM:RxStsReg\/status_6 statusicell1 2308 14542 483888 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:RxStsReg\/clock statusicell1 0 0 RISE 1 - - - -++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:sR8:Dp:u0\/f1_load -Capture Clock : \SPIM:BSPIM:sR8:Dp:u0\/clock -Path slack : 484183p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -1850 --------------------------------------------------------- ------ -End-of-path required time (ps) 498150 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 13967 -------------------------------------- ----- -End-of-path arrival time (ps) 13967 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout --------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:load_rx_data\/main_3 macrocell6 5595 7705 484183 RISE 1 -\SPIM:BSPIM:load_rx_data\/q macrocell6 3350 11055 484183 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/f1_load datapathcell1 2913 13967 484183 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - - - -++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:TxStsReg\/status_3 -Capture Clock : \SPIM:BSPIM:TxStsReg\/clock -Path slack : 485060p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -1570 --------------------------------------------------------- ------ -End-of-path required time (ps) 498430 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 13370 -------------------------------------- ----- -End-of-path arrival time (ps) 13370 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout --------------------------------- ------------ ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:load_rx_data\/main_3 macrocell6 5595 7705 484183 RISE 1 -\SPIM:BSPIM:load_rx_data\/q macrocell6 3350 11055 484183 RISE 1 -\SPIM:BSPIM:TxStsReg\/status_3 statusicell2 2315 13370 485060 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:TxStsReg\/clock statusicell2 0 0 RISE 1 - - - -++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/so_comb -Path End : Net_30/main_4 -Capture Clock : Net_30/clock_0 -Path slack : 485272p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 11218 -------------------------------------- ----- -End-of-path arrival time (ps) 11218 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/so_comb datapathcell1 8300 8300 485272 RISE 1 -Net_30/main_4 macrocell2 2918 11218 485272 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_30/clock_0 macrocell2 0 0 RISE 1 - - - -++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb -Path End : \SPIM:BSPIM:state_1\/main_8 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 486251p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 10239 -------------------------------------- ----- -End-of-path arrival time (ps) 10239 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb datapathcell1 5280 5280 486251 RISE 1 -\SPIM:BSPIM:state_1\/main_8 macrocell9 4959 10239 486251 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb -Path End : \SPIM:BSPIM:state_0\/main_8 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 487229p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 9261 -------------------------------------- ---- -End-of-path arrival time (ps) 9261 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb datapathcell1 5280 5280 486251 RISE 1 -\SPIM:BSPIM:state_0\/main_8 macrocell8 3981 9261 487229 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb -Path End : \SPIM:BSPIM:state_2\/main_8 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 487229p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 9261 -------------------------------------- ---- -End-of-path arrival time (ps) 9261 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb datapathcell1 5280 5280 486251 RISE 1 -\SPIM:BSPIM:state_2\/main_8 macrocell10 3981 9261 487229 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:load_cond\/main_6 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 487687p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 8803 -------------------------------------- ---- -End-of-path arrival time (ps) 8803 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:load_cond\/main_6 macrocell5 6693 8803 487687 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:TxStsReg\/status_0 -Capture Clock : \SPIM:BSPIM:TxStsReg\/clock -Path slack : 487846p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -1570 --------------------------------------------------------- ------ -End-of-path required time (ps) 498430 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 10584 -------------------------------------- ----- -End-of-path arrival time (ps) 10584 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ------------ ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:tx_status_0\/main_0 macrocell11 3078 4328 487846 RISE 1 -\SPIM:BSPIM:tx_status_0\/q macrocell11 3350 7678 487846 RISE 1 -\SPIM:BSPIM:TxStsReg\/status_0 statusicell2 2906 10584 487846 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:TxStsReg\/clock statusicell2 0 0 RISE 1 - - - -++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : \SPIM:BSPIM:sR8:Dp:u0\/cs_addr_0 -Capture Clock : \SPIM:BSPIM:sR8:Dp:u0\/clock -Path slack : 488486p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -7170 --------------------------------------------------------- ------ -End-of-path required time (ps) 492830 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4344 -------------------------------------- ---- -End-of-path arrival time (ps) 4344 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout --------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/cs_addr_0 datapathcell1 3094 4344 488486 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - - - -++++ Path 11 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:sR8:Dp:u0\/cs_addr_2 -Capture Clock : \SPIM:BSPIM:sR8:Dp:u0\/clock -Path slack : 488617p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -7170 --------------------------------------------------------- ------ -End-of-path required time (ps) 492830 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4213 -------------------------------------- ---- -End-of-path arrival time (ps) 4213 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout --------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/cs_addr_2 datapathcell1 2963 4213 488617 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - - - -++++ Path 12 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:cnt_enable\/main_6 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 488785p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 7705 -------------------------------------- ---- -End-of-path arrival time (ps) 7705 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_6 macrocell4 5595 7705 488785 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 13 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : \SPIM:BSPIM:sR8:Dp:u0\/cs_addr_1 -Capture Clock : \SPIM:BSPIM:sR8:Dp:u0\/clock -Path slack : 489284p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -7170 --------------------------------------------------------- ------ -End-of-path required time (ps) 492830 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3546 -------------------------------------- ---- -End-of-path arrival time (ps) 3546 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout --------------------------------- ------------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/cs_addr_1 datapathcell1 2296 3546 489284 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:sR8:Dp:u0\/clock datapathcell1 0 0 RISE 1 - - - -++++ Path 14 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:state_0\/main_6 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 489733p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 6757 -------------------------------------- ---- -End-of-path arrival time (ps) 6757 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:state_0\/main_6 macrocell8 4647 6757 489733 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 15 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:state_2\/main_6 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 489733p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 6757 -------------------------------------- ---- -End-of-path arrival time (ps) 6757 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:state_2\/main_6 macrocell10 4647 6757 489733 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 16 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_2 -Path End : \SPIM:BSPIM:cnt_enable\/main_5 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 490485p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 6005 -------------------------------------- ---- -End-of-path arrival time (ps) 6005 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_2 count7cell 2110 2110 485882 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_5 macrocell4 3895 6005 490485 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 17 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_2 -Path End : \SPIM:BSPIM:load_cond\/main_5 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 490494p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5996 -------------------------------------- ---- -End-of-path arrival time (ps) 5996 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_2 count7cell 2110 2110 485882 RISE 1 -\SPIM:BSPIM:load_cond\/main_5 macrocell5 3886 5996 490494 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 18 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_4 -Path End : \SPIM:BSPIM:cnt_enable\/main_3 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 490633p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5857 -------------------------------------- ---- -End-of-path arrival time (ps) 5857 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_4 count7cell 2110 2110 486030 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_3 macrocell4 3747 5857 490633 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 19 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_1 -Path End : \SPIM:BSPIM:state_1\/main_6 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 490635p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5855 -------------------------------------- ---- -End-of-path arrival time (ps) 5855 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_1 count7cell 2110 2110 484183 RISE 1 -\SPIM:BSPIM:state_1\/main_6 macrocell9 3745 5855 490635 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 20 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_3 -Path End : \SPIM:BSPIM:load_cond\/main_4 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 490642p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5848 -------------------------------------- ---- -End-of-path arrival time (ps) 5848 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_3 count7cell 2110 2110 486048 RISE 1 -\SPIM:BSPIM:load_cond\/main_4 macrocell5 3738 5848 490642 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 21 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_3 -Path End : \SPIM:BSPIM:cnt_enable\/main_4 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 490651p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5839 -------------------------------------- ---- -End-of-path arrival time (ps) 5839 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_3 count7cell 2110 2110 486048 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_4 macrocell4 3729 5839 490651 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 22 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_0 -Path End : \SPIM:BSPIM:load_cond\/main_7 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 490660p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5830 -------------------------------------- ---- -End-of-path arrival time (ps) 5830 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_0 count7cell 2110 2110 486060 RISE 1 -\SPIM:BSPIM:load_cond\/main_7 macrocell5 3720 5830 490660 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 23 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_0 -Path End : \SPIM:BSPIM:cnt_enable\/main_7 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 490663p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5827 -------------------------------------- ---- -End-of-path arrival time (ps) 5827 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_0 count7cell 2110 2110 486060 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_7 macrocell4 3717 5827 490663 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 24 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_4 -Path End : \SPIM:BSPIM:load_cond\/main_3 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 490671p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5819 -------------------------------------- ---- -End-of-path arrival time (ps) 5819 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_4 count7cell 2110 2110 486030 RISE 1 -\SPIM:BSPIM:load_cond\/main_3 macrocell5 3709 5819 490671 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 25 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:cnt_enable\/main_0 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 491059p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5431 -------------------------------------- ---- -End-of-path arrival time (ps) 5431 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_0 macrocell4 4181 5431 491059 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 26 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : Net_30/main_1 -Capture Clock : Net_30/clock_0 -Path slack : 491070p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5420 -------------------------------------- ---- -End-of-path arrival time (ps) 5420 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -Net_30/main_1 macrocell2 4170 5420 491070 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_30/clock_0 macrocell2 0 0 RISE 1 - - - -++++ Path 27 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:load_cond\/main_0 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 491070p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5420 -------------------------------------- ---- -End-of-path arrival time (ps) 5420 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------ ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:load_cond\/main_0 macrocell5 4170 5420 491070 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 28 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : Net_30/main_3 -Capture Clock : Net_30/clock_0 -Path slack : 491245p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5245 -------------------------------------- ---- -End-of-path arrival time (ps) 5245 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -Net_30/main_3 macrocell2 3995 5245 491245 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_30/clock_0 macrocell2 0 0 RISE 1 - - - -++++ Path 29 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : \SPIM:BSPIM:load_cond\/main_2 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 491245p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5245 -------------------------------------- ---- -End-of-path arrival time (ps) 5245 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------ ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -\SPIM:BSPIM:load_cond\/main_2 macrocell5 3995 5245 491245 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 30 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : \SPIM:BSPIM:cnt_enable\/main_2 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 491363p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 5127 -------------------------------------- ---- -End-of-path arrival time (ps) 5127 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_2 macrocell4 3877 5127 491363 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 31 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : \SPIM:BSPIM:cnt_enable\/main_1 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 491547p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4943 -------------------------------------- ---- -End-of-path arrival time (ps) 4943 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_1 macrocell4 3693 4943 491547 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 32 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_4 -Path End : \SPIM:BSPIM:state_0\/main_3 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 491558p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4932 -------------------------------------- ---- -End-of-path arrival time (ps) 4932 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_4 count7cell 2110 2110 486030 RISE 1 -\SPIM:BSPIM:state_0\/main_3 macrocell8 2822 4932 491558 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 33 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_4 -Path End : \SPIM:BSPIM:state_2\/main_3 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 491558p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4932 -------------------------------------- ---- -End-of-path arrival time (ps) 4932 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_4 count7cell 2110 2110 486030 RISE 1 -\SPIM:BSPIM:state_2\/main_3 macrocell10 2822 4932 491558 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 34 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : Net_30/main_2 -Capture Clock : Net_30/clock_0 -Path slack : 491558p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4932 -------------------------------------- ---- -End-of-path arrival time (ps) 4932 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -Net_30/main_2 macrocell2 3682 4932 491558 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_30/clock_0 macrocell2 0 0 RISE 1 - - - -++++ Path 35 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : \SPIM:BSPIM:load_cond\/main_1 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 491558p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4932 -------------------------------------- ---- -End-of-path arrival time (ps) 4932 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------ ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -\SPIM:BSPIM:load_cond\/main_1 macrocell5 3682 4932 491558 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - - -++++ Path 36 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_3 -Path End : \SPIM:BSPIM:state_1\/main_4 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 491562p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4928 -------------------------------------- ---- -End-of-path arrival time (ps) 4928 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_3 count7cell 2110 2110 486048 RISE 1 -\SPIM:BSPIM:state_1\/main_4 macrocell9 2818 4928 491562 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 37 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_3 -Path End : \SPIM:BSPIM:state_0\/main_4 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 491578p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4912 -------------------------------------- ---- -End-of-path arrival time (ps) 4912 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_3 count7cell 2110 2110 486048 RISE 1 -\SPIM:BSPIM:state_0\/main_4 macrocell8 2802 4912 491578 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 38 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_3 -Path End : \SPIM:BSPIM:state_2\/main_4 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 491578p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4912 -------------------------------------- ---- -End-of-path arrival time (ps) 4912 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_3 count7cell 2110 2110 486048 RISE 1 -\SPIM:BSPIM:state_2\/main_4 macrocell10 2802 4912 491578 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 39 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_0 -Path End : \SPIM:BSPIM:state_1\/main_7 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 491579p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4911 -------------------------------------- ---- -End-of-path arrival time (ps) 4911 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_0 count7cell 2110 2110 486060 RISE 1 -\SPIM:BSPIM:state_1\/main_7 macrocell9 2801 4911 491579 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 40 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_2 -Path End : \SPIM:BSPIM:state_0\/main_5 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 491584p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4906 -------------------------------------- ---- -End-of-path arrival time (ps) 4906 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_2 count7cell 2110 2110 485882 RISE 1 -\SPIM:BSPIM:state_0\/main_5 macrocell8 2796 4906 491584 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 41 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_2 -Path End : \SPIM:BSPIM:state_2\/main_5 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 491584p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4906 -------------------------------------- ---- -End-of-path arrival time (ps) 4906 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_2 count7cell 2110 2110 485882 RISE 1 -\SPIM:BSPIM:state_2\/main_5 macrocell10 2796 4906 491584 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 42 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_4 -Path End : \SPIM:BSPIM:state_1\/main_3 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 491590p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4900 -------------------------------------- ---- -End-of-path arrival time (ps) 4900 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_4 count7cell 2110 2110 486030 RISE 1 -\SPIM:BSPIM:state_1\/main_3 macrocell9 2790 4900 491590 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 43 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_2 -Path End : \SPIM:BSPIM:state_1\/main_5 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 491591p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4899 -------------------------------------- ---- -End-of-path arrival time (ps) 4899 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_2 count7cell 2110 2110 485882 RISE 1 -\SPIM:BSPIM:state_1\/main_5 macrocell9 2789 4899 491591 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 44 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_0 -Path End : \SPIM:BSPIM:state_0\/main_7 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 491592p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4898 -------------------------------------- ---- -End-of-path arrival time (ps) 4898 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_0 count7cell 2110 2110 486060 RISE 1 -\SPIM:BSPIM:state_0\/main_7 macrocell8 2788 4898 491592 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 45 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:BitCounter\/count_0 -Path End : \SPIM:BSPIM:state_2\/main_7 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 491592p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4898 -------------------------------------- ---- -End-of-path arrival time (ps) 4898 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:BitCounter\/count_0 count7cell 2110 2110 486060 RISE 1 -\SPIM:BSPIM:state_2\/main_7 macrocell10 2788 4898 491592 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 46 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : Net_30/q -Path End : Net_30/main_0 -Capture Clock : Net_30/clock_0 -Path slack : 491738p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4752 -------------------------------------- ---- -End-of-path arrival time (ps) 4752 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_30/clock_0 macrocell2 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout -------------- ----------- ----- ----- ------ ---- ------ -Net_30/q macrocell2 1250 1250 491738 RISE 1 -Net_30/main_0 macrocell2 3502 4752 491738 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_30/clock_0 macrocell2 0 0 RISE 1 - - - -++++ Path 47 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : Net_107/q -Path End : Net_107/main_3 -Capture Clock : Net_107/clock_0 -Path slack : 491739p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4751 -------------------------------------- ---- -End-of-path arrival time (ps) 4751 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_107/clock_0 macrocell1 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout --------------- ----------- ----- ----- ------ ---- ------ -Net_107/q macrocell1 1250 1250 491739 RISE 1 -Net_107/main_3 macrocell1 3501 4751 491739 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_107/clock_0 macrocell1 0 0 RISE 1 - - - -++++ Path 48 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:cnt_enable\/q -Path End : \SPIM:BSPIM:BitCounter\/enable -Capture Clock : \SPIM:BSPIM:BitCounter\/clock -Path slack : 491972p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3340 --------------------------------------------------------- ------ -End-of-path required time (ps) 496660 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4688 -------------------------------------- ---- -End-of-path arrival time (ps) 4688 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:cnt_enable\/q macrocell4 1250 1250 491972 RISE 1 -\SPIM:BSPIM:BitCounter\/enable count7cell 3438 4688 491972 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:BitCounter\/clock count7cell 0 0 RISE 1 - - - -++++ Path 49 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : Net_107/main_2 -Capture Clock : Net_107/clock_0 -Path slack : 492157p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4333 -------------------------------------- ---- -End-of-path arrival time (ps) 4333 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -Net_107/main_2 macrocell1 3083 4333 492157 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_107/clock_0 macrocell1 0 0 RISE 1 - - - -++++ Path 50 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : \SPIM:BSPIM:state_1\/main_2 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 492157p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4333 -------------------------------------- ---- -End-of-path arrival time (ps) 4333 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -\SPIM:BSPIM:state_1\/main_2 macrocell9 3083 4333 492157 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 51 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : Net_107/main_0 -Capture Clock : Net_107/clock_0 -Path slack : 492160p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4330 -------------------------------------- ---- -End-of-path arrival time (ps) 4330 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -Net_107/main_0 macrocell1 3080 4330 492160 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_107/clock_0 macrocell1 0 0 RISE 1 - - - -++++ Path 52 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:state_1\/main_0 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 492160p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4330 -------------------------------------- ---- -End-of-path arrival time (ps) 4330 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:state_1\/main_0 macrocell9 3080 4330 492160 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 53 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : Net_31/main_0 -Capture Clock : Net_31/clock_0 -Path slack : 492162p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4328 -------------------------------------- ---- -End-of-path arrival time (ps) 4328 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -Net_31/main_0 macrocell3 3078 4328 492162 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_31/clock_0 macrocell3 0 0 RISE 1 - - - -++++ Path 54 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:state_0\/main_0 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 492162p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4328 -------------------------------------- ---- -End-of-path arrival time (ps) 4328 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:state_0\/main_0 macrocell8 3078 4328 492162 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 55 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_2\/q -Path End : \SPIM:BSPIM:state_2\/main_0 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 492162p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4328 -------------------------------------- ---- -End-of-path arrival time (ps) 4328 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_2\/q macrocell10 1250 1250 487846 RISE 1 -\SPIM:BSPIM:state_2\/main_0 macrocell10 3078 4328 492162 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 56 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : Net_31/main_2 -Capture Clock : Net_31/clock_0 -Path slack : 492290p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4200 -------------------------------------- ---- -End-of-path arrival time (ps) 4200 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -Net_31/main_2 macrocell3 2950 4200 492290 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_31/clock_0 macrocell3 0 0 RISE 1 - - - -++++ Path 57 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : \SPIM:BSPIM:state_0\/main_2 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 492290p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4200 -------------------------------------- ---- -End-of-path arrival time (ps) 4200 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -\SPIM:BSPIM:state_0\/main_2 macrocell8 2950 4200 492290 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 58 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_0\/q -Path End : \SPIM:BSPIM:state_2\/main_2 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 492290p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 4200 -------------------------------------- ---- -End-of-path arrival time (ps) 4200 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_0\/q macrocell8 1250 1250 487974 RISE 1 -\SPIM:BSPIM:state_2\/main_2 macrocell10 2950 4200 492290 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 59 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:cnt_enable\/q -Path End : \SPIM:BSPIM:cnt_enable\/main_8 -Capture Clock : \SPIM:BSPIM:cnt_enable\/clock_0 -Path slack : 492612p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3878 -------------------------------------- ---- -End-of-path arrival time (ps) 3878 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:cnt_enable\/q macrocell4 1250 1250 491972 RISE 1 -\SPIM:BSPIM:cnt_enable\/main_8 macrocell4 2628 3878 492612 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:cnt_enable\/clock_0 macrocell4 0 0 RISE 1 - - - -++++ Path 60 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : Net_31/main_1 -Capture Clock : Net_31/clock_0 -Path slack : 492647p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3843 -------------------------------------- ---- -End-of-path arrival time (ps) 3843 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -Net_31/main_1 macrocell3 2593 3843 492647 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_31/clock_0 macrocell3 0 0 RISE 1 - - - -++++ Path 61 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : \SPIM:BSPIM:state_0\/main_1 -Capture Clock : \SPIM:BSPIM:state_0\/clock_0 -Path slack : 492647p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3843 -------------------------------------- ---- -End-of-path arrival time (ps) 3843 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -\SPIM:BSPIM:state_0\/main_1 macrocell8 2593 3843 492647 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_0\/clock_0 macrocell8 0 0 RISE 1 - - - -++++ Path 62 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : \SPIM:BSPIM:state_2\/main_1 -Capture Clock : \SPIM:BSPIM:state_2\/clock_0 -Path slack : 492647p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3843 -------------------------------------- ---- -End-of-path arrival time (ps) 3843 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -\SPIM:BSPIM:state_2\/main_1 macrocell10 2593 3843 492647 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_2\/clock_0 macrocell10 0 0 RISE 1 - - - -++++ Path 63 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : Net_107/main_1 -Capture Clock : Net_107/clock_0 -Path slack : 492647p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3843 -------------------------------------- ---- -End-of-path arrival time (ps) 3843 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ----------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -Net_107/main_1 macrocell1 2593 3843 492647 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -Net_107/clock_0 macrocell1 0 0 RISE 1 - - - -++++ Path 64 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:state_1\/q -Path End : \SPIM:BSPIM:state_1\/main_1 -Capture Clock : \SPIM:BSPIM:state_1\/clock_0 -Path slack : 492647p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3843 -------------------------------------- ---- -End-of-path arrival time (ps) 3843 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ---------------------------- ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:state_1\/q macrocell9 1250 1250 488331 RISE 1 -\SPIM:BSPIM:state_1\/main_1 macrocell9 2593 3843 492647 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:state_1\/clock_0 macrocell9 0 0 RISE 1 - - - -++++ Path 65 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - -Path Begin : \SPIM:BSPIM:load_cond\/q -Path End : \SPIM:BSPIM:load_cond\/main_8 -Capture Clock : \SPIM:BSPIM:load_cond\/clock_0 -Path slack : 492941p - -Capture Clock Arrival Time 0 -+ Clock path delay 0 -+ Cycle adjust (SPIM_IntClock:R#1 vs. SPIM_IntClock:R#2) 500000 -- Setup time -3510 --------------------------------------------------------- ------ -End-of-path required time (ps) 496490 - -Launch Clock Arrival Time 0 -+ Clock path delay 0 -+ Data path delay 3549 -------------------------------------- ---- -End-of-path arrival time (ps) 3549 - -Launch Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - -Data path -pin name model name delay AT slack edge Fanout ------------------------------ ----------- ----- ----- ------ ---- ------ -\SPIM:BSPIM:load_cond\/q macrocell5 1250 1250 492941 RISE 1 -\SPIM:BSPIM:load_cond\/main_8 macrocell5 2299 3549 492941 RISE 1 - -Capture Clock Path -pin name model name delay AT edge Fanout ---------------------------------------------------------- -------------- ----- ----- ---- ------ -ClockBlock/dclk_glb_0 clockblockcell 0 0 RISE 1 -\SPIM:BSPIM:load_cond\/clock_0 macrocell5 0 0 RISE 1 - - -===================================================================== - End of Detailed Report for all timing paths -##################################################################### - -##################################################################### - End of Timing Report -##################################################################### - diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.v b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.v deleted file mode 100644 index 729f27b..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.v +++ /dev/null @@ -1,421 +0,0 @@ -// ====================================================================== -// SPI_Design01.v generated from TopDesign.cysch -// 01/16/2013 at 14:35 -// This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! -// ====================================================================== - -/* -- WARNING: The following section of defines are deprecated and will be removed in a future release -- */ -`define CYDEV_CHIP_DIE_LEOPARD 1 -`define CYDEV_CHIP_REV_LEOPARD_PRODUCTION 3 -`define CYDEV_CHIP_REV_LEOPARD_ES3 3 -`define CYDEV_CHIP_REV_LEOPARD_ES2 1 -`define CYDEV_CHIP_REV_LEOPARD_ES1 0 -`define CYDEV_CHIP_DIE_PANTHER 2 -`define CYDEV_CHIP_REV_PANTHER_PRODUCTION 1 -`define CYDEV_CHIP_REV_PANTHER_ES1 1 -`define CYDEV_CHIP_REV_PANTHER_ES0 0 -`define CYDEV_CHIP_DIE_PSOC5LP 3 -`define CYDEV_CHIP_REV_PSOC5LP_PRODUCTION 0 -`define CYDEV_CHIP_REV_PSOC5LP_ES 0 -`define CYDEV_CHIP_DIE_EXPECT 2 -`define CYDEV_CHIP_REV_EXPECT 1 -`define CYDEV_CHIP_DIE_ACTUAL 2 -/* -- WARNING: The previous section of defines are deprecated and will be removed in a future release -- */ -`define CYDEV_CHIP_FAMILY_UNKNOWN 0 -`define CYDEV_CHIP_MEMBER_UNKNOWN 0 -`define CYDEV_CHIP_FAMILY_PSOC3 1 -`define CYDEV_CHIP_MEMBER_3A 1 -`define CYDEV_CHIP_REVISION_3A_PRODUCTION 3 -`define CYDEV_CHIP_REVISION_3A_ES3 3 -`define CYDEV_CHIP_REVISION_3A_ES2 1 -`define CYDEV_CHIP_REVISION_3A_ES1 0 -`define CYDEV_CHIP_FAMILY_PSOC5 2 -`define CYDEV_CHIP_MEMBER_5A 2 -`define CYDEV_CHIP_REVISION_5A_PRODUCTION 1 -`define CYDEV_CHIP_REVISION_5A_ES1 1 -`define CYDEV_CHIP_REVISION_5A_ES0 0 -`define CYDEV_CHIP_MEMBER_5B 3 -`define CYDEV_CHIP_REVISION_5B_PRODUCTION 0 -`define CYDEV_CHIP_REVISION_5B_ES 0 -`define CYDEV_CHIP_FAMILY_USED 2 -`define CYDEV_CHIP_MEMBER_USED 2 -`define CYDEV_CHIP_REVISION_USED 1 -// Component: ZeroTerminal -`ifdef CY_BLK_DIR -`undef CY_BLK_DIR -`endif - -`ifdef WARP -`define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal" -`include "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v" -`else -`define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal" -`include "C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v" -`endif - -// Component: cy_virtualmux_v1_0 -`ifdef CY_BLK_DIR -`undef CY_BLK_DIR -`endif - -`ifdef WARP -`define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0" -`include "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v" -`else -`define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0" -`include "C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v" -`endif - -// Component: B_SPI_Master_v2_30 -`ifdef CY_BLK_DIR -`undef CY_BLK_DIR -`endif - -`ifdef WARP -`define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30" -`include "$CYPRESS_DIR\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30\B_SPI_Master_v2_30.v" -`else -`define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30" -`include "C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30\B_SPI_Master_v2_30.v" -`endif - -// SPI_Master_v2_30(BidirectMode=false, ClockInternal=true, CtlModeReplacementString=AsyncCtl, CyGetRegReplacementString=CY_GET_REG8, CySetRegReplacementString=CY_SET_REG8, DesiredBitRate=1000000, HighSpeedMode=false, InternalClockUsed=1, InternalInterruptEnabled=0, InternalRxInterruptEnabled=0, InternalTxInterruptEnabled=0, InterruptOnByteComplete=false, InterruptOnRXFull=false, InterruptOnRXNotEmpty=false, InterruptOnRXOverrun=false, InterruptOnSPIDone=false, InterruptOnSPIIdle=false, InterruptOnTXEmpty=false, InterruptOnTXNotFull=false, IntOnByteComp=0, IntOnRXFull=0, IntOnRXNotEmpty=0, IntOnRXOver=0, IntOnSPIDone=0, IntOnSPIIdle=0, IntOnTXEmpty=0, IntOnTXNotFull=0, Mode=4, ModeUseZero=0, NumberOfDataBits=8, RegDefReplacementString=reg8, RegSizeReplacementString=uint8, RxBufferSize=4, ShiftDir=0, TxBufferSize=4, UseInternalInterrupt=false, UseRxInternalInterrupt=false, UseTxInternalInterrupt=false, VerilogSectionReplacementString=sR8, CY_COMPONENT_NAME=SPI_Master_v2_30, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=SPIM, CY_INSTANCE_SHORT_NAME=SPIM, CY_MAJOR_VERSION=2, CY_MINOR_VERSION=30, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=SPIM, ) -module SPI_Master_v2_30_0 ( - clock, - reset, - miso, - sclk, - mosi, - ss, - rx_interrupt, - sdat, - tx_interrupt); - input clock; - input reset; - input miso; - output sclk; - output mosi; - output ss; - output rx_interrupt; - inout sdat; - output tx_interrupt; - - parameter BidirectMode = 0; - parameter HighSpeedMode = 0; - parameter NumberOfDataBits = 8; - parameter ShiftDir = 0; - - wire Net_257; - wire Net_273; - wire Net_274; - wire Net_244; - wire Net_239; - wire Net_253; - wire Net_161; - wire Net_276; - - // VirtualMux_1 (cy_virtualmux_v1_0) - assign Net_276 = Net_239; - - - cy_clock_v1_0 - #(.id("65364cec-b381-43b3-8265-0ffcbc3d9007/426fcbe0-714d-4404-8fa8-581ff40c30f1"), - .source_clock_id(""), - .divisor(0), - .period("500000000"), - .is_direct(0), - .is_digital(1)) - IntClock - (.clock_out(Net_239)); - - - B_SPI_Master_v2_30 BSPIM ( - .sclk(sclk), - .ss(ss), - .miso(Net_244), - .clock(Net_276), - .reset(Net_273), - .rx_interpt(rx_interrupt), - .tx_enable(Net_253), - .mosi(mosi), - .tx_interpt(tx_interrupt)); - defparam BSPIM.BidirectMode = 0; - defparam BSPIM.HighSpeedMode = 0; - defparam BSPIM.ModeCPHA = 1; - defparam BSPIM.ModePOL = 1; - defparam BSPIM.NumberOfDataBits = 8; - defparam BSPIM.ShiftDir = 0; - - // VirtualMux_2 (cy_virtualmux_v1_0) - assign Net_244 = miso; - - // VirtualMux_3 (cy_virtualmux_v1_0) - assign Net_273 = Net_274; - - ZeroTerminal ZeroTerminal_1 ( - .z(Net_274)); - - - -endmodule - -// CharLCD_v1_70(ConversionRoutines=true, CUSTOM0=0,E,8,8,8,E,0, CUSTOM1=0,A,A,4,4,4,0, CUSTOM2=0,E,A,E,8,8,0, CUSTOM3=0,E,A,C,A,A,0, CUSTOM4=0,E,8,C,8,E,0, CUSTOM5=0,E,8,E,2,E,0, CUSTOM6=0,E,8,E,2,E,0, CUSTOM7=0,4,4,4,0,4,0, CustomCharacterSet=0, CY_COMPONENT_NAME=CharLCD_v1_70, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=LCD, CY_INSTANCE_SHORT_NAME=LCD, CY_MAJOR_VERSION=1, CY_MINOR_VERSION=70, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=LCD, ) -module CharLCD_v1_70_1 ; - - - - wire [6:0] tmpOE__LCDPort_net; - wire [6:0] tmpFB_6__LCDPort_net; - wire [6:0] tmpIO_6__LCDPort_net; - wire [0:0] tmpINTERRUPT_0__LCDPort_net; - electrical [0:0] tmpSIOVREF__LCDPort_net; - - cy_psoc3_pins_v1_10 - #(.id("923198f5-05eb-4681-ae86-b3593c234480/ed092b9b-d398-4703-be89-cebf998501f6"), - .drive_mode(21'b110_110_110_110_110_110_110), - .ibuf_enabled(7'b1_1_1_1_1_1_1), - .init_dr_st(7'b0_0_0_0_0_0_0), - .input_sync(7'b1_1_1_1_1_1_1), - .intr_mode(14'b00_00_00_00_00_00_00), - .io_voltage(", , , , , , "), - .layout_mode("CONTIGUOUS"), - .oe_conn(7'b0_0_0_0_0_0_0), - .output_conn(7'b0_0_0_0_0_0_0), - .output_sync(7'b0_0_0_0_0_0_0), - .pin_aliases(",,,,,,"), - .pin_mode("OOOOOOO"), - .por_state(4), - .use_annotation(7'b0_0_0_0_0_0_0), - .sio_group_cnt(0), - .sio_hyst(7'b0_0_0_0_0_0_0), - .sio_ibuf(""), - .sio_info(14'b00_00_00_00_00_00_00), - .sio_obuf(""), - .sio_refsel(""), - .sio_vtrip(""), - .slew_rate(7'b0_0_0_0_0_0_0), - .spanning(0), - .vtrip(14'b10_10_10_10_10_10_10), - .width(7)) - LCDPort - (.oe(tmpOE__LCDPort_net), - .y({7'b0}), - .fb({tmpFB_6__LCDPort_net[6:0]}), - .io({tmpIO_6__LCDPort_net[6:0]}), - .siovref(tmpSIOVREF__LCDPort_net), - .interrupt({tmpINTERRUPT_0__LCDPort_net[0:0]})); - - assign tmpOE__LCDPort_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{7'b1111111} : {7'b1111111}; - - - -endmodule - -// top -module top ; - - wire Net_14; - wire Net_85; - wire Net_84; - wire Net_110; - wire Net_83; - wire Net_107; - wire Net_31; - wire Net_30; - wire Net_20; - - ZeroTerminal ZeroTerminal_1 ( - .z(Net_83)); - - wire [0:0] tmpOE__m_miso_pin_net; - wire [0:0] tmpIO_0__m_miso_pin_net; - wire [0:0] tmpINTERRUPT_0__m_miso_pin_net; - electrical [0:0] tmpSIOVREF__m_miso_pin_net; - - cy_psoc3_pins_v1_10 - #(.id("1425177d-0d0e-4468-8bcc-e638e5509a9b"), - .drive_mode(3'b001), - .ibuf_enabled(1'b1), - .init_dr_st(1'b0), - .input_sync(1'b0), - .intr_mode(2'b00), - .io_voltage(""), - .layout_mode("CONTIGUOUS"), - .oe_conn(1'b0), - .output_conn(1'b0), - .output_sync(1'b0), - .pin_aliases(""), - .pin_mode("I"), - .por_state(4), - .use_annotation(1'b0), - .sio_group_cnt(0), - .sio_hyst(1'b0), - .sio_ibuf(""), - .sio_info(2'b00), - .sio_obuf(""), - .sio_refsel(""), - .sio_vtrip(""), - .slew_rate(1'b0), - .spanning(0), - .vtrip(2'b00), - .width(1)) - m_miso_pin - (.oe(tmpOE__m_miso_pin_net), - .y({1'b0}), - .fb({Net_20}), - .io({tmpIO_0__m_miso_pin_net[0:0]}), - .siovref(tmpSIOVREF__m_miso_pin_net), - .interrupt({tmpINTERRUPT_0__m_miso_pin_net[0:0]})); - - assign tmpOE__m_miso_pin_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; - - wire [0:0] tmpOE__m_mosi_pin_net; - wire [0:0] tmpFB_0__m_mosi_pin_net; - wire [0:0] tmpIO_0__m_mosi_pin_net; - wire [0:0] tmpINTERRUPT_0__m_mosi_pin_net; - electrical [0:0] tmpSIOVREF__m_mosi_pin_net; - - cy_psoc3_pins_v1_10 - #(.id("ed092b9b-d398-4703-be89-cebf998501f6"), - .drive_mode(3'b110), - .ibuf_enabled(1'b1), - .init_dr_st(1'b0), - .input_sync(1'b1), - .intr_mode(2'b00), - .io_voltage(""), - .layout_mode("CONTIGUOUS"), - .oe_conn(1'b0), - .output_conn(1'b1), - .output_sync(1'b0), - .pin_aliases(""), - .pin_mode("O"), - .por_state(4), - .use_annotation(1'b0), - .sio_group_cnt(0), - .sio_hyst(1'b0), - .sio_ibuf(""), - .sio_info(2'b00), - .sio_obuf(""), - .sio_refsel(""), - .sio_vtrip(""), - .slew_rate(1'b0), - .spanning(0), - .vtrip(2'b10), - .width(1)) - m_mosi_pin - (.oe(tmpOE__m_mosi_pin_net), - .y({Net_30}), - .fb({tmpFB_0__m_mosi_pin_net[0:0]}), - .io({tmpIO_0__m_mosi_pin_net[0:0]}), - .siovref(tmpSIOVREF__m_mosi_pin_net), - .interrupt({tmpINTERRUPT_0__m_mosi_pin_net[0:0]})); - - assign tmpOE__m_mosi_pin_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; - - wire [0:0] tmpOE__m_sclk_pin_net; - wire [0:0] tmpFB_0__m_sclk_pin_net; - wire [0:0] tmpIO_0__m_sclk_pin_net; - wire [0:0] tmpINTERRUPT_0__m_sclk_pin_net; - electrical [0:0] tmpSIOVREF__m_sclk_pin_net; - - cy_psoc3_pins_v1_10 - #(.id("640f8e70-5666-4015-9ac8-6ed7f71d8e01"), - .drive_mode(3'b110), - .ibuf_enabled(1'b1), - .init_dr_st(1'b0), - .input_sync(1'b1), - .intr_mode(2'b00), - .io_voltage(""), - .layout_mode("CONTIGUOUS"), - .oe_conn(1'b0), - .output_conn(1'b1), - .output_sync(1'b0), - .pin_aliases(""), - .pin_mode("O"), - .por_state(4), - .use_annotation(1'b0), - .sio_group_cnt(0), - .sio_hyst(1'b0), - .sio_ibuf(""), - .sio_info(2'b00), - .sio_obuf(""), - .sio_refsel(""), - .sio_vtrip(""), - .slew_rate(1'b0), - .spanning(0), - .vtrip(2'b10), - .width(1)) - m_sclk_pin - (.oe(tmpOE__m_sclk_pin_net), - .y({Net_31}), - .fb({tmpFB_0__m_sclk_pin_net[0:0]}), - .io({tmpIO_0__m_sclk_pin_net[0:0]}), - .siovref(tmpSIOVREF__m_sclk_pin_net), - .interrupt({tmpINTERRUPT_0__m_sclk_pin_net[0:0]})); - - assign tmpOE__m_sclk_pin_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; - - SPI_Master_v2_30_0 SPIM ( - .mosi(Net_30), - .sclk(Net_31), - .ss(Net_107), - .miso(Net_20), - .clock(1'b0), - .reset(Net_83), - .rx_interrupt(Net_84), - .sdat(Net_85), - .tx_interrupt(Net_14)); - defparam SPIM.BidirectMode = 0; - defparam SPIM.HighSpeedMode = 0; - defparam SPIM.NumberOfDataBits = 8; - defparam SPIM.ShiftDir = 0; - - CharLCD_v1_70_1 LCD (); - - wire [0:0] tmpOE__m_ss_pin_net; - wire [0:0] tmpFB_0__m_ss_pin_net; - wire [0:0] tmpIO_0__m_ss_pin_net; - wire [0:0] tmpINTERRUPT_0__m_ss_pin_net; - electrical [0:0] tmpSIOVREF__m_ss_pin_net; - - cy_psoc3_pins_v1_10 - #(.id("5ec2583b-d6a1-4a86-ac3e-b170e6f000fd"), - .drive_mode(3'b110), - .ibuf_enabled(1'b1), - .init_dr_st(1'b0), - .input_sync(1'b1), - .intr_mode(2'b00), - .io_voltage(""), - .layout_mode("CONTIGUOUS"), - .oe_conn(1'b0), - .output_conn(1'b1), - .output_sync(1'b0), - .pin_aliases(""), - .pin_mode("O"), - .por_state(4), - .use_annotation(1'b0), - .sio_group_cnt(0), - .sio_hyst(1'b0), - .sio_ibuf(""), - .sio_info(2'b00), - .sio_obuf(""), - .sio_refsel(""), - .sio_vtrip(""), - .slew_rate(1'b0), - .spanning(0), - .vtrip(2'b10), - .width(1)) - m_ss_pin - (.oe(tmpOE__m_ss_pin_net), - .y({Net_107}), - .fb({tmpFB_0__m_ss_pin_net[0:0]}), - .io({tmpIO_0__m_ss_pin_net[0:0]}), - .siovref(tmpSIOVREF__m_ss_pin_net), - .interrupt({tmpINTERRUPT_0__m_ss_pin_net[0:0]})); - - assign tmpOE__m_ss_pin_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; - - - -endmodule - diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.vh2 b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.vh2 deleted file mode 100644 index ff81fbe..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.vh2 +++ /dev/null @@ -1,649 +0,0 @@ --- --- Conversion of SPI_Design01.v to vh2: --- --- Cypress Semiconductor - WARP Version 6.3 IR 41 --- Wed Jan 16 14:35:45 2013 --- - -USE cypress.cypress.all; -USE cypress.rtlpkg.all; -ENTITY top_RTL IS -ATTRIBUTE part_name of top_RTL:TYPE IS "cpsoc3"; -END top_RTL; --------------------------------------------------------- -ARCHITECTURE R_T_L OF top_RTL IS -SIGNAL Net_83 : bit; -SIGNAL tmpOE__m_miso_pin_net_0 : bit; -SIGNAL zero : bit; -SIGNAL Net_20 : bit; -SIGNAL tmpIO_0__m_miso_pin_net_0 : bit; -TERMINAL tmpSIOVREF__m_miso_pin_net_0 : bit; -SIGNAL tmpINTERRUPT_0__m_miso_pin_net_0 : bit; -SIGNAL tmpOE__m_mosi_pin_net_0 : bit; -SIGNAL Net_30 : bit; -SIGNAL tmpFB_0__m_mosi_pin_net_0 : bit; -SIGNAL tmpIO_0__m_mosi_pin_net_0 : bit; -TERMINAL tmpSIOVREF__m_mosi_pin_net_0 : bit; -SIGNAL tmpINTERRUPT_0__m_mosi_pin_net_0 : bit; -SIGNAL tmpOE__m_sclk_pin_net_0 : bit; -SIGNAL Net_31 : bit; -SIGNAL tmpFB_0__m_sclk_pin_net_0 : bit; -SIGNAL tmpIO_0__m_sclk_pin_net_0 : bit; -TERMINAL tmpSIOVREF__m_sclk_pin_net_0 : bit; -SIGNAL tmpINTERRUPT_0__m_sclk_pin_net_0 : bit; -SIGNAL \SPIM:Net_276\ : bit; -SIGNAL \SPIM:Net_239\ : bit; -SIGNAL one : bit; -SIGNAL \SPIM:BSPIM:clk_fin\ : bit; -SIGNAL \SPIM:BSPIM:load_rx_data\ : bit; -SIGNAL \SPIM:BSPIM:dpcounter_one\ : bit; -SIGNAL \SPIM:BSPIM:pol_supprt\ : bit; -SIGNAL \SPIM:BSPIM:miso_to_dp\ : bit; -SIGNAL \SPIM:Net_244\ : bit; -SIGNAL \SPIM:BSPIM:mosi_after_ld\ : bit; -SIGNAL \SPIM:BSPIM:so_send\ : bit; -SIGNAL \SPIM:BSPIM:so_send_reg\ : bit; -SIGNAL \SPIM:BSPIM:mosi_reg\ : bit; -SIGNAL \SPIM:BSPIM:mosi_fin\ : bit; -SIGNAL \SPIM:BSPIM:mosi_cpha_1\ : bit; -SIGNAL \SPIM:BSPIM:state_2\ : bit; -SIGNAL \SPIM:BSPIM:state_1\ : bit; -SIGNAL \SPIM:BSPIM:state_0\ : bit; -SIGNAL \SPIM:BSPIM:mosi_from_dp\ : bit; -SIGNAL \SPIM:BSPIM:mosi_cpha_0\ : bit; -SIGNAL Net_107 : bit; -SIGNAL \SPIM:BSPIM:mosi_hs_reg\ : bit; -SIGNAL \SPIM:BSPIM:pre_mosi\ : bit; -SIGNAL \SPIM:BSPIM:count_4\ : bit; -SIGNAL \SPIM:BSPIM:count_3\ : bit; -SIGNAL \SPIM:BSPIM:count_2\ : bit; -SIGNAL \SPIM:BSPIM:count_1\ : bit; -SIGNAL \SPIM:BSPIM:count_0\ : bit; -SIGNAL \SPIM:BSPIM:mosi_pre_reg\ : bit; -SIGNAL \SPIM:BSPIM:dpcounter_zero\ : bit; -SIGNAL \SPIM:BSPIM:load_cond\ : bit; -SIGNAL \SPIM:BSPIM:dpcounter_one_reg\ : bit; -SIGNAL \SPIM:BSPIM:mosi_from_dp_reg\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_0\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_1\ : bit; -SIGNAL \SPIM:BSPIM:dpMOSI_fifo_empty\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_2\ : bit; -SIGNAL \SPIM:BSPIM:dpMOSI_fifo_not_full\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_3\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_4\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_4\ : bit; -SIGNAL \SPIM:BSPIM:dpMISO_fifo_full\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_5\ : bit; -SIGNAL \SPIM:BSPIM:dpMISO_fifo_not_empty\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_6\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_6\ : bit; -SIGNAL \SPIM:BSPIM:tx_status_5\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_3\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_2\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_1\ : bit; -SIGNAL \SPIM:BSPIM:rx_status_0\ : bit; -SIGNAL \SPIM:BSPIM:control_7\ : bit; -SIGNAL \SPIM:BSPIM:control_6\ : bit; -SIGNAL \SPIM:BSPIM:control_5\ : bit; -SIGNAL \SPIM:BSPIM:control_4\ : bit; -SIGNAL \SPIM:BSPIM:control_3\ : bit; -SIGNAL \SPIM:BSPIM:control_2\ : bit; -SIGNAL \SPIM:BSPIM:control_1\ : bit; -SIGNAL \SPIM:BSPIM:control_0\ : bit; -SIGNAL \SPIM:Net_253\ : bit; -SIGNAL \SPIM:BSPIM:ld_ident\ : bit; -SIGNAL \SPIM:Net_273\ : bit; -SIGNAL \SPIM:BSPIM:cnt_enable\ : bit; -SIGNAL \SPIM:BSPIM:count_6\ : bit; -SIGNAL \SPIM:BSPIM:count_5\ : bit; -SIGNAL \SPIM:BSPIM:cnt_tc\ : bit; -SIGNAL Net_14 : bit; -SIGNAL Net_84 : bit; -SIGNAL \SPIM:BSPIM:sR8:Dp:ce0\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ce0\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:cl0\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:cl0\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:z0\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:z0\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ff0\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ff0\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ce1\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ce1\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:cl1\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:cl1\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:z1\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:z1\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ff1\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ff1\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ov_msb\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ov_msb\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:co_msb\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:co_msb\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:cmsb\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:cmsb\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ce0_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ce0_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:cl0_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:cl0_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:z0_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:z0_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ff0_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ff0_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ce1_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ce1_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:cl1_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:cl1_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:z1_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:z1_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ff1_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ff1_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:ov_msb_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:ov_msb_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:co_msb_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:co_msb_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:cmsb_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:cmsb_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:so_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:so_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:f0_bus_stat_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:f0_bus_stat_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:f0_blk_stat_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:f0_blk_stat_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:f1_bus_stat_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:f1_bus_stat_reg\:SIGNAL IS 2; -SIGNAL \SPIM:BSPIM:sR8:Dp:f1_blk_stat_reg\ : bit; -ATTRIBUTE port_state_att of \SPIM:BSPIM:sR8:Dp:f1_blk_stat_reg\:SIGNAL IS 2; -SIGNAL \SPIM:Net_274\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_6\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_5\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_4\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_3\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_2\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_1\ : bit; -SIGNAL \LCD:tmpOE__LCDPort_net_0\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_6\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_5\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_4\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_3\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_2\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_1\ : bit; -SIGNAL \LCD:tmpFB_6__LCDPort_net_0\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_6\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_5\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_4\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_3\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_2\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_1\ : bit; -SIGNAL \LCD:tmpIO_6__LCDPort_net_0\ : bit; -TERMINAL \LCD:tmpSIOVREF__LCDPort_net_0\ : bit; -SIGNAL \LCD:tmpINTERRUPT_0__LCDPort_net_0\ : bit; -SIGNAL tmpOE__m_ss_pin_net_0 : bit; -SIGNAL tmpFB_0__m_ss_pin_net_0 : bit; -SIGNAL tmpIO_0__m_ss_pin_net_0 : bit; -TERMINAL tmpSIOVREF__m_ss_pin_net_0 : bit; -SIGNAL tmpINTERRUPT_0__m_ss_pin_net_0 : bit; -SIGNAL Net_31D : bit; -SIGNAL \SPIM:BSPIM:so_send_reg\\D\ : bit; -SIGNAL \SPIM:BSPIM:mosi_reg\\D\ : bit; -SIGNAL \SPIM:BSPIM:state_2\\D\ : bit; -SIGNAL \SPIM:BSPIM:state_1\\D\ : bit; -SIGNAL \SPIM:BSPIM:state_0\\D\ : bit; -SIGNAL Net_107D : bit; -SIGNAL \SPIM:BSPIM:mosi_pre_reg\\D\ : bit; -SIGNAL \SPIM:BSPIM:load_cond\\D\ : bit; -SIGNAL \SPIM:BSPIM:dpcounter_one_reg\\D\ : bit; -SIGNAL \SPIM:BSPIM:mosi_from_dp_reg\\D\ : bit; -SIGNAL \SPIM:BSPIM:ld_ident\\D\ : bit; -SIGNAL \SPIM:BSPIM:cnt_enable\\D\ : bit; -BEGIN - -zero <= ('0') ; - -tmpOE__m_miso_pin_net_0 <= ('1') ; - -\SPIM:BSPIM:load_rx_data\ <= ((not \SPIM:BSPIM:count_4\ and not \SPIM:BSPIM:count_3\ and not \SPIM:BSPIM:count_2\ and not \SPIM:BSPIM:count_1\ and \SPIM:BSPIM:count_0\)); - -\SPIM:BSPIM:load_cond\\D\ <= ((not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_2\) - OR (\SPIM:BSPIM:count_0\ and \SPIM:BSPIM:load_cond\) - OR (\SPIM:BSPIM:count_1\ and \SPIM:BSPIM:load_cond\) - OR (\SPIM:BSPIM:count_2\ and \SPIM:BSPIM:load_cond\) - OR (\SPIM:BSPIM:count_3\ and \SPIM:BSPIM:load_cond\) - OR (\SPIM:BSPIM:count_4\ and \SPIM:BSPIM:load_cond\)); - -\SPIM:BSPIM:tx_status_0\ <= ((not \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_0\)); - -\SPIM:BSPIM:tx_status_4\ <= ((not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\)); - -\SPIM:BSPIM:rx_status_6\ <= ((not \SPIM:BSPIM:count_4\ and not \SPIM:BSPIM:count_3\ and not \SPIM:BSPIM:count_2\ and not \SPIM:BSPIM:count_1\ and \SPIM:BSPIM:count_0\ and \SPIM:BSPIM:rx_status_4\)); - -\SPIM:BSPIM:state_2\\D\ <= ((not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:count_4\ and not \SPIM:BSPIM:count_3\ and not \SPIM:BSPIM:count_2\ and not \SPIM:BSPIM:count_0\ and not \SPIM:BSPIM:tx_status_1\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:count_1\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:count_4\ and not \SPIM:BSPIM:count_3\ and not \SPIM:BSPIM:count_2\ and not \SPIM:BSPIM:count_1\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:count_0\)); - -\SPIM:BSPIM:state_1\\D\ <= ((not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:count_1\ and not \SPIM:BSPIM:count_0\ and \SPIM:BSPIM:state_1\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:count_0\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:count_1\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:count_0\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:tx_status_1\) - OR (not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_2\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:state_0\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:count_2\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:count_3\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:count_4\)); - -\SPIM:BSPIM:state_0\\D\ <= ((not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:tx_status_1\ and \SPIM:BSPIM:count_4\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:tx_status_1\ and \SPIM:BSPIM:count_3\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:tx_status_1\ and \SPIM:BSPIM:count_2\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:count_1\ and not \SPIM:BSPIM:tx_status_1\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:tx_status_1\ and \SPIM:BSPIM:count_0\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:count_4\ and not \SPIM:BSPIM:count_3\ and not \SPIM:BSPIM:count_2\ and not \SPIM:BSPIM:count_1\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:count_0\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:tx_status_1\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\ and not \SPIM:BSPIM:tx_status_1\)); - -Net_107D <= ((not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\) - OR (\SPIM:BSPIM:state_1\ and Net_107) - OR (\SPIM:BSPIM:state_2\ and Net_107) - OR (\SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_1\)); - -\SPIM:BSPIM:cnt_enable\\D\ <= ((not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:count_4\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:count_3\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:count_2\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:count_1\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:count_0\ and \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_2\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:cnt_enable\) - OR (not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:state_0\)); - -\SPIM:BSPIM:mosi_reg\\D\ <= ((not \SPIM:BSPIM:state_2\ and not \SPIM:BSPIM:state_0\ and \SPIM:BSPIM:state_1\ and \SPIM:BSPIM:mosi_from_dp\) - OR (not \SPIM:BSPIM:state_1\ and not \SPIM:BSPIM:state_0\ and Net_30 and \SPIM:BSPIM:state_2\) - OR (not \SPIM:BSPIM:state_2\ and Net_30 and \SPIM:BSPIM:state_0\)); - -Net_31D <= (\SPIM:BSPIM:state_0\ - OR not \SPIM:BSPIM:state_1\ - OR \SPIM:BSPIM:state_2\); - -m_miso_pin:cy_psoc3_pins_v1_10 - GENERIC MAP(id=>"1425177d-0d0e-4468-8bcc-e638e5509a9b", - drive_mode=>"001", - ibuf_enabled=>"1", - init_dr_st=>"0", - input_sync=>"0", - intr_mode=>"00", - io_voltage=>"", - layout_mode=>"CONTIGUOUS", - output_conn=>"0", - output_sync=>"0", - oe_conn=>"0", - pin_aliases=>"", - pin_mode=>"I", - por_state=>4, - sio_group_cnt=>0, - sio_hifreq=>"", - sio_hyst=>"0", - sio_ibuf=>"00000000", - sio_info=>"00", - sio_obuf=>"00000000", - sio_refsel=>"00000000", - sio_vtrip=>"00000000", - slew_rate=>"0", - spanning=>'0', - sw_only=>'0', - vtrip=>"00", - width=>1, - port_alias_required=>'0', - port_alias_group=>"", - use_annotation=>"0") - PORT MAP(oe=>(tmpOE__m_miso_pin_net_0), - y=>(zero), - fb=>Net_20, - analog=>(open), - io=>(tmpIO_0__m_miso_pin_net_0), - siovref=>(tmpSIOVREF__m_miso_pin_net_0), - annotation=>(open), - interrupt=>tmpINTERRUPT_0__m_miso_pin_net_0); -m_mosi_pin:cy_psoc3_pins_v1_10 - GENERIC MAP(id=>"ed092b9b-d398-4703-be89-cebf998501f6", - drive_mode=>"110", - ibuf_enabled=>"1", - init_dr_st=>"0", - input_sync=>"1", - intr_mode=>"00", - io_voltage=>"", - layout_mode=>"CONTIGUOUS", - output_conn=>"1", - output_sync=>"0", - oe_conn=>"0", - pin_aliases=>"", - pin_mode=>"O", - por_state=>4, - sio_group_cnt=>0, - sio_hifreq=>"", - sio_hyst=>"0", - sio_ibuf=>"00000000", - sio_info=>"00", - sio_obuf=>"00000000", - sio_refsel=>"00000000", - sio_vtrip=>"00000000", - slew_rate=>"0", - spanning=>'0', - sw_only=>'0', - vtrip=>"10", - width=>1, - port_alias_required=>'0', - port_alias_group=>"", - use_annotation=>"0") - PORT MAP(oe=>(tmpOE__m_miso_pin_net_0), - y=>Net_30, - fb=>(tmpFB_0__m_mosi_pin_net_0), - analog=>(open), - io=>(tmpIO_0__m_mosi_pin_net_0), - siovref=>(tmpSIOVREF__m_mosi_pin_net_0), - annotation=>(open), - interrupt=>tmpINTERRUPT_0__m_mosi_pin_net_0); -m_sclk_pin:cy_psoc3_pins_v1_10 - GENERIC MAP(id=>"640f8e70-5666-4015-9ac8-6ed7f71d8e01", - drive_mode=>"110", - ibuf_enabled=>"1", - init_dr_st=>"0", - input_sync=>"1", - intr_mode=>"00", - io_voltage=>"", - layout_mode=>"CONTIGUOUS", - output_conn=>"1", - output_sync=>"0", - oe_conn=>"0", - pin_aliases=>"", - pin_mode=>"O", - por_state=>4, - sio_group_cnt=>0, - sio_hifreq=>"", - sio_hyst=>"0", - sio_ibuf=>"00000000", - sio_info=>"00", - sio_obuf=>"00000000", - sio_refsel=>"00000000", - sio_vtrip=>"00000000", - slew_rate=>"0", - spanning=>'0', - sw_only=>'0', - vtrip=>"10", - width=>1, - port_alias_required=>'0', - port_alias_group=>"", - use_annotation=>"0") - PORT MAP(oe=>(tmpOE__m_miso_pin_net_0), - y=>Net_31, - fb=>(tmpFB_0__m_sclk_pin_net_0), - analog=>(open), - io=>(tmpIO_0__m_sclk_pin_net_0), - siovref=>(tmpSIOVREF__m_sclk_pin_net_0), - annotation=>(open), - interrupt=>tmpINTERRUPT_0__m_sclk_pin_net_0); -\SPIM:IntClock\:cy_clock_v1_0 - GENERIC MAP(cy_registers=>"", - id=>"65364cec-b381-43b3-8265-0ffcbc3d9007/426fcbe0-714d-4404-8fa8-581ff40c30f1", - source_clock_id=>"", - divisor=>0, - period=>"500000000", - is_direct=>'0', - is_digital=>'1') - PORT MAP(clock_out=>\SPIM:Net_276\, - dig_domain_out=>open); -\SPIM:BSPIM:ClkEn\:cy_psoc3_udb_clock_enable_v1_0 - GENERIC MAP(sync_mode=>'1') - PORT MAP(clock_in=>\SPIM:Net_276\, - enable=>tmpOE__m_miso_pin_net_0, - clock_out=>\SPIM:BSPIM:clk_fin\); -\SPIM:BSPIM:BitCounter\:cy_psoc3_count7 - GENERIC MAP(cy_period=>"0001111", - cy_init_value=>"0000000", - cy_route_ld=>'0', - cy_route_en=>'1', - cy_alt_mode=>'0') - PORT MAP(clock=>\SPIM:BSPIM:clk_fin\, - reset=>zero, - load=>zero, - enable=>\SPIM:BSPIM:cnt_enable\, - count=>(\SPIM:BSPIM:count_6\, \SPIM:BSPIM:count_5\, \SPIM:BSPIM:count_4\, \SPIM:BSPIM:count_3\, - \SPIM:BSPIM:count_2\, \SPIM:BSPIM:count_1\, \SPIM:BSPIM:count_0\), - tc=>\SPIM:BSPIM:cnt_tc\); -\SPIM:BSPIM:TxStsReg\:cy_psoc3_statusi - GENERIC MAP(cy_force_order=>'1', - cy_md_select=>"0001001", - cy_int_mask=>"0000000") - PORT MAP(reset=>zero, - clock=>\SPIM:BSPIM:clk_fin\, - status=>(zero, zero, \SPIM:BSPIM:tx_status_4\, \SPIM:BSPIM:load_rx_data\, - \SPIM:BSPIM:tx_status_2\, \SPIM:BSPIM:tx_status_1\, \SPIM:BSPIM:tx_status_0\), - interrupt=>Net_14); -\SPIM:BSPIM:RxStsReg\:cy_psoc3_statusi - GENERIC MAP(cy_force_order=>'1', - cy_md_select=>"1000000", - cy_int_mask=>"0000000") - PORT MAP(reset=>zero, - clock=>\SPIM:BSPIM:clk_fin\, - status=>(\SPIM:BSPIM:rx_status_6\, \SPIM:BSPIM:rx_status_5\, \SPIM:BSPIM:rx_status_4\, zero, - zero, zero, zero), - interrupt=>Net_84); -\SPIM:BSPIM:sR8:Dp:u0\:cy_psoc3_dp - GENERIC MAP(cy_dpconfig=>"0000000000000000000000001100000000000001010000000001000100010000000000011100000000010001000000000000000101000000000000010100000011111111000000001111111111111111000000000010001000001100111100000000000000000100", - d0_init=>"00000000", - d1_init=>"00000000", - a0_init=>"00000000", - a1_init=>"00000000", - ce0_sync=>'1', - cl0_sync=>'1', - z0_sync=>'1', - ff0_sync=>'1', - ce1_sync=>'1', - cl1_sync=>'1', - z1_sync=>'1', - ff1_sync=>'1', - ov_msb_sync=>'1', - co_msb_sync=>'1', - cmsb_sync=>'1', - so_sync=>'1', - f0_bus_sync=>'1', - f0_blk_sync=>'1', - f1_bus_sync=>'1', - f1_blk_sync=>'1') - PORT MAP(reset=>zero, - clk=>\SPIM:BSPIM:clk_fin\, - cs_addr=>(\SPIM:BSPIM:state_2\, \SPIM:BSPIM:state_1\, \SPIM:BSPIM:state_0\), - route_si=>Net_20, - route_ci=>zero, - f0_load=>zero, - f1_load=>\SPIM:BSPIM:load_rx_data\, - d0_load=>zero, - d1_load=>zero, - ce0=>open, - cl0=>open, - z0=>open, - ff0=>open, - ce1=>open, - cl1=>open, - z1=>open, - ff1=>open, - ov_msb=>open, - co_msb=>open, - cmsb=>open, - so=>\SPIM:BSPIM:mosi_from_dp\, - f0_bus_stat=>\SPIM:BSPIM:tx_status_2\, - f0_blk_stat=>\SPIM:BSPIM:tx_status_1\, - f1_bus_stat=>\SPIM:BSPIM:rx_status_5\, - f1_blk_stat=>\SPIM:BSPIM:rx_status_4\, - ce0_reg=>open, - cl0_reg=>open, - z0_reg=>open, - ff0_reg=>open, - ce1_reg=>open, - cl1_reg=>open, - z1_reg=>open, - ff1_reg=>open, - ov_msb_reg=>open, - co_msb_reg=>open, - cmsb_reg=>open, - so_reg=>open, - f0_bus_stat_reg=>open, - f0_blk_stat_reg=>open, - f1_bus_stat_reg=>open, - f1_blk_stat_reg=>open, - ci=>zero, - co=>open, - sir=>zero, - sor=>open, - sil=>zero, - sol=>open, - msbi=>zero, - msbo=>open, - cei=>(zero, zero), - ceo=>open, - cli=>(zero, zero), - clo=>open, - zi=>(zero, zero), - zo=>open, - fi=>(zero, zero), - fo=>open, - capi=>(zero, zero), - capo=>open, - cfbi=>zero, - cfbo=>open, - pi=>(zero, zero, zero, zero, - zero, zero, zero, zero), - po=>open); -\LCD:LCDPort\:cy_psoc3_pins_v1_10 - GENERIC MAP(id=>"923198f5-05eb-4681-ae86-b3593c234480/ed092b9b-d398-4703-be89-cebf998501f6", - drive_mode=>"110110110110110110110", - ibuf_enabled=>"1111111", - init_dr_st=>"0000000", - input_sync=>"1111111", - intr_mode=>"00000000000000", - io_voltage=>", , , , , , ", - layout_mode=>"CONTIGUOUS", - output_conn=>"0000000", - output_sync=>"0000000", - oe_conn=>"0000000", - pin_aliases=>",,,,,,", - pin_mode=>"OOOOOOO", - por_state=>4, - sio_group_cnt=>0, - sio_hifreq=>"", - sio_hyst=>"0000000", - sio_ibuf=>"00000000", - sio_info=>"00000000000000", - sio_obuf=>"00000000", - sio_refsel=>"00000000", - sio_vtrip=>"00000000", - slew_rate=>"0000000", - spanning=>'0', - sw_only=>'0', - vtrip=>"10101010101010", - width=>7, - port_alias_required=>'0', - port_alias_group=>"", - use_annotation=>"0000000") - PORT MAP(oe=>(tmpOE__m_miso_pin_net_0, tmpOE__m_miso_pin_net_0, tmpOE__m_miso_pin_net_0, tmpOE__m_miso_pin_net_0, - tmpOE__m_miso_pin_net_0, tmpOE__m_miso_pin_net_0, tmpOE__m_miso_pin_net_0), - y=>(zero, zero, zero, zero, - zero, zero, zero), - fb=>(\LCD:tmpFB_6__LCDPort_net_6\, \LCD:tmpFB_6__LCDPort_net_5\, \LCD:tmpFB_6__LCDPort_net_4\, \LCD:tmpFB_6__LCDPort_net_3\, - \LCD:tmpFB_6__LCDPort_net_2\, \LCD:tmpFB_6__LCDPort_net_1\, \LCD:tmpFB_6__LCDPort_net_0\), - analog=>(open, open, open, open, - open, open, open), - io=>(\LCD:tmpIO_6__LCDPort_net_6\, \LCD:tmpIO_6__LCDPort_net_5\, \LCD:tmpIO_6__LCDPort_net_4\, \LCD:tmpIO_6__LCDPort_net_3\, - \LCD:tmpIO_6__LCDPort_net_2\, \LCD:tmpIO_6__LCDPort_net_1\, \LCD:tmpIO_6__LCDPort_net_0\), - siovref=>(\LCD:tmpSIOVREF__LCDPort_net_0\), - annotation=>(open, open, open, open, - open, open, open), - interrupt=>\LCD:tmpINTERRUPT_0__LCDPort_net_0\); -m_ss_pin:cy_psoc3_pins_v1_10 - GENERIC MAP(id=>"5ec2583b-d6a1-4a86-ac3e-b170e6f000fd", - drive_mode=>"110", - ibuf_enabled=>"1", - init_dr_st=>"0", - input_sync=>"1", - intr_mode=>"00", - io_voltage=>"", - layout_mode=>"CONTIGUOUS", - output_conn=>"1", - output_sync=>"0", - oe_conn=>"0", - pin_aliases=>"", - pin_mode=>"O", - por_state=>4, - sio_group_cnt=>0, - sio_hifreq=>"", - sio_hyst=>"0", - sio_ibuf=>"00000000", - sio_info=>"00", - sio_obuf=>"00000000", - sio_refsel=>"00000000", - sio_vtrip=>"00000000", - slew_rate=>"0", - spanning=>'0', - sw_only=>'0', - vtrip=>"10", - width=>1, - port_alias_required=>'0', - port_alias_group=>"", - use_annotation=>"0") - PORT MAP(oe=>(tmpOE__m_miso_pin_net_0), - y=>Net_107, - fb=>(tmpFB_0__m_ss_pin_net_0), - analog=>(open), - io=>(tmpIO_0__m_ss_pin_net_0), - siovref=>(tmpSIOVREF__m_ss_pin_net_0), - annotation=>(open), - interrupt=>tmpINTERRUPT_0__m_ss_pin_net_0); -Net_31:cy_dff - PORT MAP(d=>Net_31D, - clk=>\SPIM:BSPIM:clk_fin\, - q=>Net_31); -\SPIM:BSPIM:so_send_reg\:cy_dff - PORT MAP(d=>zero, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:so_send_reg\); -\SPIM:BSPIM:mosi_reg\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:mosi_reg\\D\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>Net_30); -\SPIM:BSPIM:state_2\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:state_2\\D\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:state_2\); -\SPIM:BSPIM:state_1\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:state_1\\D\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:state_1\); -\SPIM:BSPIM:state_0\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:state_0\\D\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:state_0\); -Net_107:cy_dff - PORT MAP(d=>Net_107D, - clk=>\SPIM:BSPIM:clk_fin\, - q=>Net_107); -\SPIM:BSPIM:mosi_pre_reg\:cy_dff - PORT MAP(d=>zero, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:mosi_pre_reg\); -\SPIM:BSPIM:load_cond\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:load_cond\\D\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:load_cond\); -\SPIM:BSPIM:dpcounter_one_reg\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:load_rx_data\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:dpcounter_one_reg\); -\SPIM:BSPIM:mosi_from_dp_reg\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:mosi_from_dp\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:mosi_from_dp_reg\); -\SPIM:BSPIM:ld_ident\:cy_dff - PORT MAP(d=>zero, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:ld_ident\); -\SPIM:BSPIM:cnt_enable\:cy_dff - PORT MAP(d=>\SPIM:BSPIM:cnt_enable\\D\, - clk=>\SPIM:BSPIM:clk_fin\, - q=>\SPIM:BSPIM:cnt_enable\); - -END R_T_L; diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.wde b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.wde deleted file mode 100644 index 06bbd52..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01.wde +++ /dev/null @@ -1,11 +0,0 @@ -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\ieee\work\stdlogic.vif -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif -SPI_Design01.ctl -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\..\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v -SPI_Design01.v -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\..\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_30\B_SPI_Master_v2_30.v -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cypress.v -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\cy_psoc3_inc.v -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif -C:\Program Files (x86)\Cypress\PSoC Creator\2.1\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3.vif diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.lib b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.lib deleted file mode 100644 index 5402b07..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.lib +++ /dev/null @@ -1,2337 +0,0 @@ -library (timing) { - timescale : 1ns; - capacitive_load_unit (1,ff); - include_file(device.lib); - cell (macrocell1) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell2) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell3) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (iocell1) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.231; - intrinsic_fall : 15.231; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.231; - intrinsic_fall : 15.231; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.499; - intrinsic_fall : 17.499; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 18.989; - intrinsic_fall : 18.989; - } - } - } - cell (iocell2) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.254; - intrinsic_fall : 15.254; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.254; - intrinsic_fall : 15.254; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 16.253; - intrinsic_fall : 16.253; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 16.490; - intrinsic_fall : 16.490; - } - } - } - cell (iocell3) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.219; - intrinsic_fall : 16.219; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.219; - intrinsic_fall : 16.219; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 21.315; - intrinsic_fall : 21.315; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 17.470; - intrinsic_fall : 17.470; - } - } - } - cell (iocell4) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.844; - intrinsic_fall : 16.844; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.844; - intrinsic_fall : 16.844; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.182; - intrinsic_fall : 17.182; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 24.720; - intrinsic_fall : 24.720; - } - } - } - cell (iocell5) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.776; - intrinsic_fall : 15.776; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.776; - intrinsic_fall : 15.776; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 20.119; - intrinsic_fall : 20.119; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 23.475; - intrinsic_fall : 23.475; - } - } - } - cell (iocell6) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.458; - intrinsic_fall : 16.458; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.458; - intrinsic_fall : 16.458; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 16.998; - intrinsic_fall : 16.998; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.553; - intrinsic_fall : 25.553; - } - } - } - cell (iocell7) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.998; - intrinsic_fall : 15.998; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.998; - intrinsic_fall : 15.998; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 19.701; - intrinsic_fall : 19.701; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 23.795; - intrinsic_fall : 23.795; - } - } - } - cell (statusicell1) { - pin (clock) { - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - pin (reset) { - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - } - pin (status_0) { - direction : input; - } - pin (status_1) { - direction : input; - } - pin (status_2) { - direction : input; - } - pin (status_3) { - direction : input; - } - pin (status_4) { - direction : input; - } - pin (status_5) { - direction : input; - } - pin (status_6) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (interrupt) { - direction : output; - } - } - cell (statusicell2) { - pin (clock) { - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - pin (reset) { - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - } - pin (status_0) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (status_1) { - direction : input; - } - pin (status_2) { - direction : input; - } - pin (status_3) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (status_4) { - direction : input; - } - pin (status_5) { - direction : input; - } - pin (status_6) { - direction : input; - } - pin (interrupt) { - direction : output; - } - } - cell (macrocell4) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell5) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell6) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (macrocell7) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (datapathcell1) { - pin (clk_en) { - direction : input; - } - pin (reset) { - direction : input; - } - pin (cs_addr_0) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (cs_addr_1) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (cs_addr_2) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (route_si) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.77; - intrinsic_fall : 6.77; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.78; - intrinsic_fall : 6.78; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.65; - intrinsic_fall : 7.65; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (route_ci) { - direction : input; - } - pin (f0_load) { - direction : input; - } - pin (f1_load) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.85; - intrinsic_fall : 1.85; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (d0_load) { - direction : input; - } - pin (d1_load) { - direction : input; - } - pin (p_in_0) { - direction : input; - } - pin (p_in_1) { - direction : input; - } - pin (p_in_2) { - direction : input; - } - pin (p_in_3) { - direction : input; - } - pin (p_in_4) { - direction : input; - } - pin (p_in_5) { - direction : input; - } - pin (p_in_6) { - direction : input; - } - pin (p_in_7) { - direction : input; - } - pin (ce0i) { - direction : input; - } - pin (cl0i) { - direction : input; - } - pin (z0i) { - direction : input; - } - pin (ff0i) { - direction : input; - } - pin (ce1i) { - direction : input; - } - pin (cl1i) { - direction : input; - } - pin (z1i) { - direction : input; - } - pin (ff1i) { - direction : input; - } - pin (cap0i) { - direction : input; - } - pin (cap1i) { - direction : input; - } - pin (ci) { - direction : input; - } - pin (sir) { - direction : input; - } - pin (cfbi) { - direction : input; - } - pin (sil) { - direction : input; - } - pin (cmsbi) { - direction : input; - } - pin (busclk) { - direction : input; - clock : true; - } - pin (clock) { - direction : input; - clock : true; - } - pin (ce0_reg) { - direction : output; - } - pin (cl0_reg) { - direction : output; - } - pin (z0_reg) { - direction : output; - } - pin (f0_reg) { - direction : output; - } - pin (ce1_reg) { - direction : output; - } - pin (cl1_reg) { - direction : output; - } - pin (z1_reg) { - direction : output; - } - pin (f1_reg) { - direction : output; - } - pin (ov_msb_reg) { - direction : output; - } - pin (co_msb_reg) { - direction : output; - } - pin (cmsb_reg) { - direction : output; - } - pin (so_reg) { - direction : output; - } - pin (f0_bus_stat_reg) { - direction : output; - } - pin (f0_blk_stat_reg) { - direction : output; - } - pin (f1_bus_stat_reg) { - direction : output; - } - pin (f1_blk_stat_reg) { - direction : output; - } - pin (ce0_comb) { - direction : output; - } - pin (cl0_comb) { - direction : output; - } - pin (z0_comb) { - direction : output; - } - pin (f0_comb) { - direction : output; - } - pin (ce1_comb) { - direction : output; - } - pin (cl1_comb) { - direction : output; - } - pin (z1_comb) { - direction : output; - } - pin (f1_comb) { - direction : output; - } - pin (ov_msb_comb) { - direction : output; - } - pin (co_msb_comb) { - direction : output; - } - pin (cmsb_comb) { - direction : output; - } - pin (so_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 8.16; - intrinsic_fall : 8.16; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 8.30; - intrinsic_fall : 8.30; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.78; - intrinsic_fall : 5.78; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 6.12; - intrinsic_fall : 6.12; - } - } - pin (f0_bus_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - } - pin (f0_blk_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - } - pin (f1_bus_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - } - pin (f1_blk_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - } - pin (p_out_0) { - direction : output; - } - pin (p_out_1) { - direction : output; - } - pin (p_out_2) { - direction : output; - } - pin (p_out_3) { - direction : output; - } - pin (p_out_4) { - direction : output; - } - pin (p_out_5) { - direction : output; - } - pin (p_out_6) { - direction : output; - } - pin (p_out_7) { - direction : output; - } - pin (ce0) { - direction : output; - } - pin (cl0) { - direction : output; - } - pin (z0) { - direction : output; - } - pin (ff0) { - direction : output; - } - pin (ce1) { - direction : output; - } - pin (cl1) { - direction : output; - } - pin (z1) { - direction : output; - } - pin (ff1) { - direction : output; - } - pin (cap0) { - direction : output; - } - pin (cap1) { - direction : output; - } - pin (co_msb) { - direction : output; - } - pin (sol_msb) { - direction : output; - } - pin (cfbo) { - direction : output; - } - pin (sor) { - direction : output; - } - pin (cmsbo) { - direction : output; - } - } - cell (macrocell8) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell9) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell10) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell11) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((main_0 * !main_1 * main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((main_0 * !main_1 * main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (macrocell12) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (iocell8) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.689; - intrinsic_fall : 16.689; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.689; - intrinsic_fall : 16.689; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 19.103; - intrinsic_fall : 19.103; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 26.840; - intrinsic_fall : 26.840; - } - } - } - cell (iocell9) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 17.119; - intrinsic_fall : 17.119; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 17.119; - intrinsic_fall : 17.119; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.971; - intrinsic_fall : 17.971; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.711; - intrinsic_fall : 25.711; - } - } - } - cell (iocell10) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.630; - intrinsic_fall : 15.630; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.630; - intrinsic_fall : 15.630; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.982; - intrinsic_fall : 17.982; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.772; - intrinsic_fall : 25.772; - } - } - } - cell (iocell11) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.393; - intrinsic_fall : 15.393; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.393; - intrinsic_fall : 15.393; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 18.672; - intrinsic_fall : 18.672; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.214; - intrinsic_fall : 25.214; - } - } - } -} diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.pco b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.pco deleted file mode 100644 index 3e59adf..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.pco +++ /dev/null @@ -1,31 +0,0 @@ -dont_use_io iocell 1 0 -dont_use_io iocell 1 1 -dont_use_io iocell 1 3 -set_location "\SPIM:BSPIM:tx_status_4\" macrocell 0 5 1 2 -set_location "\SPIM:BSPIM:RxStsReg\" statusicell 0 4 4 -set_location "\SPIM:BSPIM:state_2\" macrocell 0 5 0 0 -set_location "\SPIM:BSPIM:state_1\" macrocell 0 5 1 0 -set_location "\SPIM:BSPIM:sR8:Dp:u0\" datapathcell 0 5 2 -set_location "\SPIM:BSPIM:tx_status_0\" macrocell 0 5 0 1 -set_location "\SPIM:BSPIM:TxStsReg\" statusicell 1 4 4 -set_location "Net_107" macrocell 0 5 1 1 -set_location "\SPIM:BSPIM:cnt_enable\" macrocell 0 4 0 0 -set_location "\SPIM:BSPIM:load_rx_data\" macrocell 0 4 0 1 -set_location "Net_30" macrocell 0 4 1 1 -set_location "\SPIM:BSPIM:state_0\" macrocell 0 5 0 2 -set_location "\SPIM:BSPIM:rx_status_6\" macrocell 0 4 0 2 -set_location "\SPIM:BSPIM:BitCounter\" count7cell 0 5 7 -set_location "Net_31" macrocell 0 5 0 3 -set_location "\SPIM:BSPIM:load_cond\" macrocell 0 4 1 0 -set_io "\LCD:LCDPort(3)\" iocell 2 3 -set_io "\LCD:LCDPort(2)\" iocell 2 2 -set_io "\LCD:LCDPort(5)\" iocell 2 5 -set_io "\LCD:LCDPort(4)\" iocell 2 4 -set_io "\LCD:LCDPort(1)\" iocell 2 1 -set_io "\LCD:LCDPort(0)\" iocell 2 0 -set_io "\LCD:LCDPort(6)\" iocell 2 6 -set_io "m_ss_pin(0)" iocell 0 7 -set_io "m_miso_pin(0)" iocell 0 0 -set_io "m_sclk_pin(0)" iocell 0 6 -set_io "m_mosi_pin(0)" iocell 0 5 -set_location "ClockBlock" clockblockcell -1 -1 0 diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.vh2 b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.vh2 deleted file mode 100644 index 7d26a68..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_p.vh2 +++ /dev/null @@ -1,1489 +0,0 @@ --- Project: SPI_Design01 --- Generated: 01/16/2013 14:35:46 --- - -ENTITY SPI_Design01 IS - PORT( - \LCD:LCDPort(0)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(1)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(2)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(3)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(4)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(5)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(6)_PAD\ : OUT std_ulogic; - m_miso_pin(0)_PAD : IN std_ulogic; - m_mosi_pin(0)_PAD : OUT std_ulogic; - m_sclk_pin(0)_PAD : OUT std_ulogic; - m_ss_pin(0)_PAD : OUT std_ulogic); - ATTRIBUTE voltage_Vio1 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vusb OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vddd OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio3 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vdda OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio0 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio2 OF __DEFAULT__ : ENTITY IS 3.3e0; -END SPI_Design01; - -ARCHITECTURE __DEFAULT__ OF SPI_Design01 IS - SIGNAL ClockBlock_100k : bit; - SIGNAL ClockBlock_1k : bit; - SIGNAL ClockBlock_32k : bit; - SIGNAL ClockBlock_BUS_CLK : bit; - ATTRIBUTE global_signal OF ClockBlock_BUS_CLK : SIGNAL IS true; - SIGNAL ClockBlock_BUS_CLK_local : bit; - SIGNAL ClockBlock_ILO : bit; - SIGNAL ClockBlock_IMO : bit; - SIGNAL ClockBlock_MASTER_CLK : bit; - SIGNAL ClockBlock_PLL_OUT : bit; - SIGNAL ClockBlock_XTAL : bit; - SIGNAL ClockBlock_XTAL_32KHZ : bit; - SIGNAL Net_107 : bit; - SIGNAL Net_20 : bit; - SIGNAL Net_30 : bit; - SIGNAL Net_31 : bit; - SIGNAL \SPIM:BSPIM:cnt_enable\ : bit; - SIGNAL \SPIM:BSPIM:cnt_tc\ : bit; - SIGNAL \SPIM:BSPIM:count_0\ : bit; - SIGNAL \SPIM:BSPIM:count_1\ : bit; - SIGNAL \SPIM:BSPIM:count_2\ : bit; - SIGNAL \SPIM:BSPIM:count_3\ : bit; - SIGNAL \SPIM:BSPIM:count_4\ : bit; - SIGNAL \SPIM:BSPIM:count_5\ : bit; - SIGNAL \SPIM:BSPIM:count_6\ : bit; - SIGNAL \SPIM:BSPIM:load_cond\ : bit; - SIGNAL \SPIM:BSPIM:load_rx_data\ : bit; - SIGNAL \SPIM:BSPIM:mosi_from_dp\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_4\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_5\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_6\ : bit; - SIGNAL \SPIM:BSPIM:state_0\ : bit; - SIGNAL \SPIM:BSPIM:state_1\ : bit; - SIGNAL \SPIM:BSPIM:state_2\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_0\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_1\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_2\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_4\ : bit; - SIGNAL \SPIM:Net_276\ : bit; - ATTRIBUTE global_signal OF \SPIM:Net_276\ : SIGNAL IS true; - SIGNAL \SPIM:Net_276_local\ : bit; - SIGNAL __ONE__ : bit; - ATTRIBUTE POWER OF __ONE__ : SIGNAL IS true; - SIGNAL __ZERO__ : bit; - ATTRIBUTE GROUND OF __ZERO__ : SIGNAL IS true; - SIGNAL tmpOE__m_miso_pin_net_0 : bit; - ATTRIBUTE POWER OF tmpOE__m_miso_pin_net_0 : SIGNAL IS true; - SIGNAL zero : bit; - ATTRIBUTE GROUND OF zero : SIGNAL IS true; - ATTRIBUTE lib_model OF Net_107 : LABEL IS "macrocell1"; - ATTRIBUTE lib_model OF Net_30 : LABEL IS "macrocell2"; - ATTRIBUTE lib_model OF Net_31 : LABEL IS "macrocell3"; - ATTRIBUTE lib_model OF \LCD:LCDPort(0)\ : LABEL IS "iocell1"; - ATTRIBUTE Location OF \LCD:LCDPort(0)\ : LABEL IS "P2[0]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(1)\ : LABEL IS "iocell2"; - ATTRIBUTE Location OF \LCD:LCDPort(1)\ : LABEL IS "P2[1]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(2)\ : LABEL IS "iocell3"; - ATTRIBUTE Location OF \LCD:LCDPort(2)\ : LABEL IS "P2[2]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(3)\ : LABEL IS "iocell4"; - ATTRIBUTE Location OF \LCD:LCDPort(3)\ : LABEL IS "P2[3]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(4)\ : LABEL IS "iocell5"; - ATTRIBUTE Location OF \LCD:LCDPort(4)\ : LABEL IS "P2[4]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(5)\ : LABEL IS "iocell6"; - ATTRIBUTE Location OF \LCD:LCDPort(5)\ : LABEL IS "P2[5]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(6)\ : LABEL IS "iocell7"; - ATTRIBUTE Location OF \LCD:LCDPort(6)\ : LABEL IS "P2[6]"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:RxStsReg\ : LABEL IS "statusicell1"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:TxStsReg\ : LABEL IS "statusicell2"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:cnt_enable\ : LABEL IS "macrocell4"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:load_cond\ : LABEL IS "macrocell5"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:load_rx_data\ : LABEL IS "macrocell6"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:rx_status_6\ : LABEL IS "macrocell7"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:sR8:Dp:u0\ : LABEL IS "datapathcell1"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_0\ : LABEL IS "macrocell8"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_1\ : LABEL IS "macrocell9"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_2\ : LABEL IS "macrocell10"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:tx_status_0\ : LABEL IS "macrocell11"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:tx_status_4\ : LABEL IS "macrocell12"; - ATTRIBUTE lib_model OF m_miso_pin(0) : LABEL IS "iocell8"; - ATTRIBUTE Location OF m_miso_pin(0) : LABEL IS "P0[0]"; - ATTRIBUTE lib_model OF m_mosi_pin(0) : LABEL IS "iocell9"; - ATTRIBUTE Location OF m_mosi_pin(0) : LABEL IS "P0[5]"; - ATTRIBUTE lib_model OF m_sclk_pin(0) : LABEL IS "iocell10"; - ATTRIBUTE Location OF m_sclk_pin(0) : LABEL IS "P0[6]"; - ATTRIBUTE lib_model OF m_ss_pin(0) : LABEL IS "iocell11"; - ATTRIBUTE Location OF m_ss_pin(0) : LABEL IS "P0[7]"; - COMPONENT abufcell - END COMPONENT; - COMPONENT boostcell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT cachecell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT cancell - PORT ( - clock : IN std_ulogic; - can_rx : IN std_ulogic; - can_tx : OUT std_ulogic; - can_tx_en : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT capsensecell - PORT ( - lft : IN std_ulogic; - rt : IN std_ulogic); - END COMPONENT; - COMPONENT clockblockcell - PORT ( - dclk_0 : OUT std_ulogic; - dclk_1 : OUT std_ulogic; - dclk_2 : OUT std_ulogic; - dclk_3 : OUT std_ulogic; - dclk_4 : OUT std_ulogic; - dclk_5 : OUT std_ulogic; - dclk_6 : OUT std_ulogic; - dclk_7 : OUT std_ulogic; - dclk_glb_0 : OUT std_ulogic; - dclk_glb_1 : OUT std_ulogic; - dclk_glb_2 : OUT std_ulogic; - dclk_glb_3 : OUT std_ulogic; - dclk_glb_4 : OUT std_ulogic; - dclk_glb_5 : OUT std_ulogic; - dclk_glb_6 : OUT std_ulogic; - dclk_glb_7 : OUT std_ulogic; - aclk_0 : OUT std_ulogic; - aclk_1 : OUT std_ulogic; - aclk_2 : OUT std_ulogic; - aclk_3 : OUT std_ulogic; - aclk_glb_0 : OUT std_ulogic; - aclk_glb_1 : OUT std_ulogic; - aclk_glb_2 : OUT std_ulogic; - aclk_glb_3 : OUT std_ulogic; - clk_a_dig_0 : OUT std_ulogic; - clk_a_dig_1 : OUT std_ulogic; - clk_a_dig_2 : OUT std_ulogic; - clk_a_dig_3 : OUT std_ulogic; - clk_a_dig_glb_0 : OUT std_ulogic; - clk_a_dig_glb_1 : OUT std_ulogic; - clk_a_dig_glb_2 : OUT std_ulogic; - clk_a_dig_glb_3 : OUT std_ulogic; - clk_bus : OUT std_ulogic; - clk_bus_glb : OUT std_ulogic; - clk_sync : OUT std_ulogic; - clk_32k_xtal : OUT std_ulogic; - clk_100k : OUT std_ulogic; - clk_32k : OUT std_ulogic; - clk_1k : OUT std_ulogic; - clk_usb : OUT std_ulogic; - xmhz_xerr : OUT std_ulogic; - pll_lock_out : OUT std_ulogic; - dsi_dig_div_0 : IN std_ulogic; - dsi_dig_div_1 : IN std_ulogic; - dsi_dig_div_2 : IN std_ulogic; - dsi_dig_div_3 : IN std_ulogic; - dsi_dig_div_4 : IN std_ulogic; - dsi_dig_div_5 : IN std_ulogic; - dsi_dig_div_6 : IN std_ulogic; - dsi_dig_div_7 : IN std_ulogic; - dsi_ana_div_0 : IN std_ulogic; - dsi_ana_div_1 : IN std_ulogic; - dsi_ana_div_2 : IN std_ulogic; - dsi_ana_div_3 : IN std_ulogic; - dsi_glb_div : IN std_ulogic; - dsi_clkin_div : IN std_ulogic; - imo : OUT std_ulogic; - ilo : OUT std_ulogic; - xtal : OUT std_ulogic; - pllout : OUT std_ulogic); - END COMPONENT; - COMPONENT comparatorcell - PORT ( - out : OUT std_ulogic; - clk_udb : IN std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT controlcell - PORT ( - control_0 : OUT std_ulogic; - control_1 : OUT std_ulogic; - control_2 : OUT std_ulogic; - control_3 : OUT std_ulogic; - control_4 : OUT std_ulogic; - control_5 : OUT std_ulogic; - control_6 : OUT std_ulogic; - control_7 : OUT std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - clk_en : IN std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF controlcell : COMPONENT IS "clock"; - ATTRIBUTE udb_clken OF controlcell : COMPONENT IS "clk_en"; - ATTRIBUTE udb_reset OF controlcell : COMPONENT IS "reset"; - COMPONENT count7cell - PORT ( - clock : IN std_ulogic; - reset : IN std_ulogic; - load : IN std_ulogic; - enable : IN std_ulogic; - clk_en : IN std_ulogic; - count_0 : OUT std_ulogic; - count_1 : OUT std_ulogic; - count_2 : OUT std_ulogic; - count_3 : OUT std_ulogic; - count_4 : OUT std_ulogic; - count_5 : OUT std_ulogic; - count_6 : OUT std_ulogic; - tc : OUT std_ulogic; - clock_n : IN std_ulogic; - extclk : IN std_ulogic; - extclk_n : IN std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF count7cell : COMPONENT IS "clock,clock_n,extclk,extclk_n"; - ATTRIBUTE udb_clken OF count7cell : COMPONENT IS "clk_en"; - ATTRIBUTE udb_reset OF count7cell : COMPONENT IS "reset"; - COMPONENT csabufcell - PORT ( - swon : IN std_ulogic); - END COMPONENT; - COMPONENT datapathcell - PORT ( - clock : IN std_ulogic; - clk_en : IN std_ulogic; - reset : IN std_ulogic; - cs_addr_0 : IN std_ulogic; - cs_addr_1 : IN std_ulogic; - cs_addr_2 : IN std_ulogic; - route_si : IN std_ulogic; - route_ci : IN std_ulogic; - f0_load : IN std_ulogic; - f1_load : IN std_ulogic; - d0_load : IN std_ulogic; - d1_load : IN std_ulogic; - ce0_reg : OUT std_ulogic; - cl0_reg : OUT std_ulogic; - z0_reg : OUT std_ulogic; - f0_reg : OUT std_ulogic; - ce1_reg : OUT std_ulogic; - cl1_reg : OUT std_ulogic; - z1_reg : OUT std_ulogic; - f1_reg : OUT std_ulogic; - ov_msb_reg : OUT std_ulogic; - co_msb_reg : OUT std_ulogic; - cmsb_reg : OUT std_ulogic; - so_reg : OUT std_ulogic; - f0_bus_stat_reg : OUT std_ulogic; - f0_blk_stat_reg : OUT std_ulogic; - f1_bus_stat_reg : OUT std_ulogic; - f1_blk_stat_reg : OUT std_ulogic; - ce0_comb : OUT std_ulogic; - cl0_comb : OUT std_ulogic; - z0_comb : OUT std_ulogic; - f0_comb : OUT std_ulogic; - ce1_comb : OUT std_ulogic; - cl1_comb : OUT std_ulogic; - z1_comb : OUT std_ulogic; - f1_comb : OUT std_ulogic; - ov_msb_comb : OUT std_ulogic; - co_msb_comb : OUT std_ulogic; - cmsb_comb : OUT std_ulogic; - so_comb : OUT std_ulogic; - f0_bus_stat_comb : OUT std_ulogic; - f0_blk_stat_comb : OUT std_ulogic; - f1_bus_stat_comb : OUT std_ulogic; - f1_blk_stat_comb : OUT std_ulogic; - ce0 : OUT std_ulogic; - ce0i : IN std_ulogic; - p_in_0 : IN std_ulogic; - p_in_1 : IN std_ulogic; - p_in_2 : IN std_ulogic; - p_in_3 : IN std_ulogic; - p_in_4 : IN std_ulogic; - p_in_5 : IN std_ulogic; - p_in_6 : IN std_ulogic; - p_in_7 : IN std_ulogic; - p_out_0 : OUT std_ulogic; - p_out_1 : OUT std_ulogic; - p_out_2 : OUT std_ulogic; - p_out_3 : OUT std_ulogic; - p_out_4 : OUT std_ulogic; - p_out_5 : OUT std_ulogic; - p_out_6 : OUT std_ulogic; - p_out_7 : OUT std_ulogic; - cl0i : IN std_ulogic; - cl0 : OUT std_ulogic; - z0i : IN std_ulogic; - z0 : OUT std_ulogic; - ff0i : IN std_ulogic; - ff0 : OUT std_ulogic; - ce1i : IN std_ulogic; - ce1 : OUT std_ulogic; - cl1i : IN std_ulogic; - cl1 : OUT std_ulogic; - z1i : IN std_ulogic; - z1 : OUT std_ulogic; - ff1i : IN std_ulogic; - ff1 : OUT std_ulogic; - cap0i : IN std_ulogic; - cap0 : OUT std_ulogic; - cap1i : IN std_ulogic; - cap1 : OUT std_ulogic; - ci : IN std_ulogic; - co_msb : OUT std_ulogic; - sir : IN std_ulogic; - sol_msb : OUT std_ulogic; - cfbi : IN std_ulogic; - cfbo : OUT std_ulogic; - sil : IN std_ulogic; - sor : OUT std_ulogic; - cmsbi : IN std_ulogic; - cmsbo : OUT std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF datapathcell : COMPONENT IS "clock"; - ATTRIBUTE udb_clken OF datapathcell : COMPONENT IS "clk_en"; - ATTRIBUTE udb_reset OF datapathcell : COMPONENT IS "reset"; - ATTRIBUTE udb_chain OF datapathcell : COMPONENT IS "ce0i,ce0,cl0i,cl0,z0i,z0,ff0i,ff0,ce1i,ce1,cl1i,cl1,z1i,z1,ff1i,ff1,cap0i,cap0,cap1i,cap1,ci,co_msb,sir,sol_msb,cfbi,cfbo,sil,sor,cmsbi,cmsbo"; - ATTRIBUTE chain_lsb OF datapathcell : COMPONENT IS "ce0i,cl0i,z0i,ff0i,ce1i,cl1i,z1i,ff1i,cap0i,cap1i,ci,sir,cfbi,sor,cmsbo"; - ATTRIBUTE chain_msb OF datapathcell : COMPONENT IS "ce0,cl0,z0,ff0,ce1,cl1,z1,ff1,cap0,cap1,co_msb,sol_msb,cfbo,sil,cmsbi"; - COMPONENT decimatorcell - PORT ( - aclock : IN std_ulogic; - mod_dat_0 : IN std_ulogic; - mod_dat_1 : IN std_ulogic; - mod_dat_2 : IN std_ulogic; - mod_dat_3 : IN std_ulogic; - ext_start : IN std_ulogic; - modrst : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT dfbcell - PORT ( - clock : IN std_ulogic; - in_1 : IN std_ulogic; - in_2 : IN std_ulogic; - out_1 : OUT std_ulogic; - out_2 : OUT std_ulogic; - dmareq_1 : OUT std_ulogic; - dmareq_2 : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT drqcell - PORT ( - dmareq : IN std_ulogic; - termin : IN std_ulogic; - termout : OUT std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT dsmodcell - PORT ( - aclock : IN std_ulogic; - modbitin_udb : IN std_ulogic; - reset_udb : IN std_ulogic; - reset_dec : IN std_ulogic; - dec_clock : OUT std_ulogic; - mod_dat_0 : OUT std_ulogic; - mod_dat_1 : OUT std_ulogic; - mod_dat_2 : OUT std_ulogic; - mod_dat_3 : OUT std_ulogic; - dout_udb_0 : OUT std_ulogic; - dout_udb_1 : OUT std_ulogic; - dout_udb_2 : OUT std_ulogic; - dout_udb_3 : OUT std_ulogic; - dout_udb_4 : OUT std_ulogic; - dout_udb_5 : OUT std_ulogic; - dout_udb_6 : OUT std_ulogic; - dout_udb_7 : OUT std_ulogic; - extclk_cp_udb : IN std_ulogic; - clk_udb : IN std_ulogic); - END COMPONENT; - COMPONENT emifcell - PORT ( - EM_clock : OUT std_ulogic; - EM_CEn : OUT std_ulogic; - EM_OEn : OUT std_ulogic; - EM_ADSCn : OUT std_ulogic; - EM_sleep : OUT std_ulogic; - EM_WRn : OUT std_ulogic; - dataport_OE : OUT std_ulogic; - dataport_OEn : OUT std_ulogic; - wr : OUT std_ulogic; - rd : OUT std_ulogic; - udb_stall : IN std_ulogic; - udb_ready : IN std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT i2ccell - PORT ( - clock : IN std_ulogic; - scl_in : IN std_ulogic; - sda_in : IN std_ulogic; - scl_out : OUT std_ulogic; - sda_out : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT interrupt - PORT ( - interrupt : IN std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT iocell - PORT ( - pin_input : IN std_ulogic; - oe : IN std_ulogic; - clock : IN std_ulogic; - fb : OUT std_ulogic; - pad_in : IN std_ulogic; - pad_out : OUT std_ulogic); - END COMPONENT; - COMPONENT lcdctrlcell - PORT ( - drive_en : IN std_ulogic; - frame : IN std_ulogic; - data_clk : IN std_ulogic; - en_hi : IN std_ulogic; - dac_dis : IN std_ulogic; - chop_clk : IN std_ulogic; - int_clr : IN std_ulogic; - lp_ack_udb : IN std_ulogic; - mode_1 : IN std_ulogic; - mode_2 : IN std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT logicalport - PORT ( - interrupt : OUT std_ulogic; - precharge : IN std_ulogic); - END COMPONENT; - COMPONENT lpfcell - END COMPONENT; - COMPONENT lvdcell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT m0s8clockblockcell - PORT ( - imo : OUT std_ulogic; - ext : OUT std_ulogic; - eco : OUT std_ulogic; - ilo : OUT std_ulogic; - wco : OUT std_ulogic; - dbl : OUT std_ulogic; - pll : OUT std_ulogic; - dpll : OUT std_ulogic; - dsi_out_0 : OUT std_ulogic; - dsi_out_1 : OUT std_ulogic; - dsi_out_2 : OUT std_ulogic; - dsi_out_3 : OUT std_ulogic; - lfclk : OUT std_ulogic; - hfclk : OUT std_ulogic; - sysclk : OUT std_ulogic; - halfsysclk : OUT std_ulogic; - udb_div_0 : OUT std_ulogic; - udb_div_1 : OUT std_ulogic; - udb_div_2 : OUT std_ulogic; - udb_div_3 : OUT std_ulogic; - udb_div_4 : OUT std_ulogic; - udb_div_5 : OUT std_ulogic; - udb_div_6 : OUT std_ulogic; - udb_div_7 : OUT std_ulogic; - uab_div_0 : OUT std_ulogic; - uab_div_1 : OUT std_ulogic; - uab_div_2 : OUT std_ulogic; - uab_div_3 : OUT std_ulogic; - ff_div_0 : OUT std_ulogic; - ff_div_1 : OUT std_ulogic; - ff_div_2 : OUT std_ulogic; - ff_div_3 : OUT std_ulogic; - ff_div_4 : OUT std_ulogic; - ff_div_5 : OUT std_ulogic; - ff_div_6 : OUT std_ulogic; - ff_div_7 : OUT std_ulogic; - ff_div_8 : OUT std_ulogic; - ff_div_9 : OUT std_ulogic; - ff_div_10 : OUT std_ulogic; - ff_div_11 : OUT std_ulogic; - ff_div_12 : OUT std_ulogic; - ff_div_13 : OUT std_ulogic; - ff_div_14 : OUT std_ulogic; - ff_div_15 : OUT std_ulogic; - dsi_in_0 : IN std_ulogic; - dsi_in_1 : IN std_ulogic; - dsi_in_2 : IN std_ulogic; - dsi_in_3 : IN std_ulogic); - END COMPONENT; - COMPONENT m0s8lcdcell - PORT ( - common_0 : OUT std_ulogic; - common_1 : OUT std_ulogic; - common_2 : OUT std_ulogic; - common_3 : OUT std_ulogic; - common_4 : OUT std_ulogic; - common_5 : OUT std_ulogic; - common_6 : OUT std_ulogic; - common_7 : OUT std_ulogic; - common_8 : OUT std_ulogic; - common_9 : OUT std_ulogic; - common_10 : OUT std_ulogic; - common_11 : OUT std_ulogic; - common_12 : OUT std_ulogic; - common_13 : OUT std_ulogic; - common_14 : OUT std_ulogic; - common_15 : OUT std_ulogic; - segment_0 : OUT std_ulogic; - segment_1 : OUT std_ulogic; - segment_2 : OUT std_ulogic; - segment_3 : OUT std_ulogic; - segment_4 : OUT std_ulogic; - segment_5 : OUT std_ulogic; - segment_6 : OUT std_ulogic; - segment_7 : OUT std_ulogic; - segment_8 : OUT std_ulogic; - segment_9 : OUT std_ulogic; - segment_10 : OUT std_ulogic; - segment_11 : OUT std_ulogic; - segment_12 : OUT std_ulogic; - segment_13 : OUT std_ulogic; - segment_14 : OUT std_ulogic; - segment_15 : OUT std_ulogic; - segment_16 : OUT std_ulogic; - segment_17 : OUT std_ulogic; - segment_18 : OUT std_ulogic; - segment_19 : OUT std_ulogic; - segment_20 : OUT std_ulogic; - segment_21 : OUT std_ulogic; - segment_22 : OUT std_ulogic; - segment_23 : OUT std_ulogic; - segment_24 : OUT std_ulogic; - segment_25 : OUT std_ulogic; - segment_26 : OUT std_ulogic; - segment_27 : OUT std_ulogic; - segment_28 : OUT std_ulogic; - segment_29 : OUT std_ulogic; - segment_30 : OUT std_ulogic; - segment_31 : OUT std_ulogic; - segment_32 : OUT std_ulogic; - segment_33 : OUT std_ulogic; - segment_34 : OUT std_ulogic; - segment_35 : OUT std_ulogic; - segment_36 : OUT std_ulogic; - segment_37 : OUT std_ulogic; - segment_38 : OUT std_ulogic; - segment_39 : OUT std_ulogic; - segment_40 : OUT std_ulogic; - segment_41 : OUT std_ulogic; - segment_42 : OUT std_ulogic; - segment_43 : OUT std_ulogic; - segment_44 : OUT std_ulogic; - segment_45 : OUT std_ulogic; - segment_46 : OUT std_ulogic; - segment_47 : OUT std_ulogic; - segment_48 : OUT std_ulogic; - segment_49 : OUT std_ulogic; - segment_50 : OUT std_ulogic; - segment_51 : OUT std_ulogic; - segment_52 : OUT std_ulogic; - segment_53 : OUT std_ulogic; - segment_54 : OUT std_ulogic; - segment_55 : OUT std_ulogic; - segment_56 : OUT std_ulogic; - segment_57 : OUT std_ulogic; - segment_58 : OUT std_ulogic; - segment_59 : OUT std_ulogic; - segment_60 : OUT std_ulogic; - segment_61 : OUT std_ulogic; - segment_62 : OUT std_ulogic; - segment_63 : OUT std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT m0s8scbcell - PORT ( - clock : IN std_ulogic; - interrupt : OUT std_ulogic; - rx : IN std_ulogic; - tx : OUT std_ulogic; - mosi_m : OUT std_ulogic; - miso_m : IN std_ulogic; - select_m_0 : OUT std_ulogic; - select_m_1 : OUT std_ulogic; - select_m_2 : OUT std_ulogic; - select_m_3 : OUT std_ulogic; - sclk_m : OUT std_ulogic; - mosi_s : IN std_ulogic; - miso_s : OUT std_ulogic; - select_s : IN std_ulogic; - sclk_s : IN std_ulogic; - scl : INOUT std_ulogic; - sda : INOUT std_ulogic); - END COMPONENT; - COMPONENT m0s8tcpwmcell - PORT ( - clock : IN std_ulogic; - capture : IN std_ulogic; - count : IN std_ulogic; - reload : IN std_ulogic; - stop : IN std_ulogic; - start : IN std_ulogic; - tr_underflow : OUT std_ulogic; - tr_overflow : OUT std_ulogic; - tr_compare_match : OUT std_ulogic; - line_out : OUT std_ulogic; - line_out_compl : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT m0s8tsscell - PORT ( - clk_seq : IN std_ulogic; - clk_adc : IN std_ulogic; - ext_reject : IN std_ulogic; - ext_sync : IN std_ulogic; - tx_sync : IN std_ulogic; - reject_in : IN std_ulogic; - start_in : IN std_ulogic; - lx_det_hi : OUT std_ulogic; - lx_det_lo : OUT std_ulogic; - rej_window : OUT std_ulogic; - tx_hilo : OUT std_ulogic; - phase_end : OUT std_ulogic; - phase_num_0 : OUT std_ulogic; - phase_num_1 : OUT std_ulogic; - phase_num_2 : OUT std_ulogic; - phase_num_3 : OUT std_ulogic; - ipq_reject : OUT std_ulogic; - ipq_start : OUT std_ulogic; - epq_reject : OUT std_ulogic; - epq_start : OUT std_ulogic; - mcs_reject : OUT std_ulogic; - mcs_start : OUT std_ulogic; - do_switch : OUT std_ulogic; - adc_start : OUT std_ulogic; - adc_done : OUT std_ulogic); - END COMPONENT; - COMPONENT macrocell - PORT ( - main_0 : IN std_ulogic; - main_1 : IN std_ulogic; - main_2 : IN std_ulogic; - main_3 : IN std_ulogic; - main_4 : IN std_ulogic; - main_5 : IN std_ulogic; - main_6 : IN std_ulogic; - main_7 : IN std_ulogic; - main_8 : IN std_ulogic; - main_9 : IN std_ulogic; - main_10 : IN std_ulogic; - main_11 : IN std_ulogic; - ar_0 : IN std_ulogic; - ap_0 : IN std_ulogic; - clock_0 : IN std_ulogic; - clk_en : IN std_ulogic; - cin : IN std_ulogic; - cpt0_0 : IN std_ulogic; - cpt0_1 : IN std_ulogic; - cpt0_2 : IN std_ulogic; - cpt0_3 : IN std_ulogic; - cpt0_4 : IN std_ulogic; - cpt0_5 : IN std_ulogic; - cpt0_6 : IN std_ulogic; - cpt0_7 : IN std_ulogic; - cpt0_8 : IN std_ulogic; - cpt0_9 : IN std_ulogic; - cpt0_10 : IN std_ulogic; - cpt0_11 : IN std_ulogic; - cpt1_0 : IN std_ulogic; - cpt1_1 : IN std_ulogic; - cpt1_2 : IN std_ulogic; - cpt1_3 : IN std_ulogic; - cpt1_4 : IN std_ulogic; - cpt1_5 : IN std_ulogic; - cpt1_6 : IN std_ulogic; - cpt1_7 : IN std_ulogic; - cpt1_8 : IN std_ulogic; - cpt1_9 : IN std_ulogic; - cpt1_10 : IN std_ulogic; - cpt1_11 : IN std_ulogic; - cout : OUT std_ulogic; - q : OUT std_ulogic; - q_fixed : OUT std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF macrocell : COMPONENT IS "clock_0"; - ATTRIBUTE udb_clken OF macrocell : COMPONENT IS "clk_en"; - ATTRIBUTE udb_reset OF macrocell : COMPONENT IS "ar_0"; - ATTRIBUTE udb_preset OF macrocell : COMPONENT IS "ap_0"; - ATTRIBUTE udb_chain OF macrocell : COMPONENT IS "cin,cout"; - ATTRIBUTE chain_lsb OF macrocell : COMPONENT IS "cin"; - ATTRIBUTE chain_msb OF macrocell : COMPONENT IS "cout"; - COMPONENT p4abufcell - PORT ( - ctb_dsi_comp : OUT std_ulogic; - dsi_out : IN std_ulogic); - END COMPONENT; - COMPONENT p4csdcell - PORT ( - sense_out : OUT std_ulogic; - sample_out : OUT std_ulogic; - sense_in : IN std_ulogic; - sample_in : IN std_ulogic); - END COMPONENT; - COMPONENT p4csidaccell - PORT ( - en : IN std_ulogic); - END COMPONENT; - COMPONENT p4halfuabcell - PORT ( - clock : IN std_ulogic; - comp : OUT std_ulogic; - ctrl : IN std_ulogic); - END COMPONENT; - COMPONENT p4lpcompcell - PORT ( - cmpout : OUT std_ulogic; - irq : OUT std_ulogic); - END COMPONENT; - COMPONENT p4rsbcell - END COMPONENT; - COMPONENT p4sarcell - PORT ( - clock : IN std_ulogic; - pump_clock : IN std_ulogic; - sample_done : OUT std_ulogic; - chan_id_valid : OUT std_ulogic; - chan_id_0 : OUT std_ulogic; - chan_id_1 : OUT std_ulogic; - chan_id_2 : OUT std_ulogic; - chan_id_3 : OUT std_ulogic; - data_valid : OUT std_ulogic; - data_0 : OUT std_ulogic; - data_1 : OUT std_ulogic; - data_2 : OUT std_ulogic; - data_3 : OUT std_ulogic; - data_4 : OUT std_ulogic; - data_5 : OUT std_ulogic; - data_6 : OUT std_ulogic; - data_7 : OUT std_ulogic; - data_8 : OUT std_ulogic; - data_9 : OUT std_ulogic; - data_10 : OUT std_ulogic; - data_11 : OUT std_ulogic; - eos_intr : OUT std_ulogic; - sw_negvref : IN std_ulogic; - cfg_st_sel_0 : IN std_ulogic; - cfg_st_sel_1 : IN std_ulogic; - cfg_average : IN std_ulogic; - cfg_resolution : IN std_ulogic; - cfg_differential : IN std_ulogic; - trigger : IN std_ulogic; - data_hilo_sel : IN std_ulogic); - END COMPONENT; - COMPONENT p4tempcell - END COMPONENT; - COMPONENT p4vrefcell - END COMPONENT; - COMPONENT pmcell - PORT ( - ctw_int : OUT std_ulogic; - ftw_int : OUT std_ulogic; - limact_int : OUT std_ulogic; - onepps_int : OUT std_ulogic; - pm_int : OUT std_ulogic); - END COMPONENT; - COMPONENT sarcell - PORT ( - clock : IN std_ulogic; - pump_clock : IN std_ulogic; - clk_udb : IN std_ulogic; - sof_udb : IN std_ulogic; - vp_ctl_udb_0 : IN std_ulogic; - vp_ctl_udb_1 : IN std_ulogic; - vp_ctl_udb_2 : IN std_ulogic; - vp_ctl_udb_3 : IN std_ulogic; - vn_ctl_udb_0 : IN std_ulogic; - vn_ctl_udb_1 : IN std_ulogic; - vn_ctl_udb_2 : IN std_ulogic; - vn_ctl_udb_3 : IN std_ulogic; - data_out_udb_0 : OUT std_ulogic; - data_out_udb_1 : OUT std_ulogic; - data_out_udb_2 : OUT std_ulogic; - data_out_udb_3 : OUT std_ulogic; - data_out_udb_4 : OUT std_ulogic; - data_out_udb_5 : OUT std_ulogic; - data_out_udb_6 : OUT std_ulogic; - data_out_udb_7 : OUT std_ulogic; - data_out_udb_8 : OUT std_ulogic; - data_out_udb_9 : OUT std_ulogic; - data_out_udb_10 : OUT std_ulogic; - data_out_udb_11 : OUT std_ulogic; - eof_udb : OUT std_ulogic; - irq : OUT std_ulogic; - next : OUT std_ulogic); - END COMPONENT; - COMPONENT sccell - PORT ( - aclk : IN std_ulogic; - bst_clk : IN std_ulogic; - clk_udb : IN std_ulogic; - modout : OUT std_ulogic; - dyn_cntl_udb : IN std_ulogic); - END COMPONENT; - COMPONENT spccell - PORT ( - data_ready : OUT std_ulogic; - eeprom_fault_int : OUT std_ulogic; - idle : OUT std_ulogic); - END COMPONENT; - COMPONENT statuscell - PORT ( - status_0 : IN std_ulogic; - status_1 : IN std_ulogic; - status_2 : IN std_ulogic; - status_3 : IN std_ulogic; - status_4 : IN std_ulogic; - status_5 : IN std_ulogic; - status_6 : IN std_ulogic; - status_7 : IN std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - clk_en : IN std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF statuscell : COMPONENT IS "clock"; - ATTRIBUTE udb_clken OF statuscell : COMPONENT IS "clk_en"; - ATTRIBUTE udb_reset OF statuscell : COMPONENT IS "reset"; - COMPONENT statusicell - PORT ( - status_0 : IN std_ulogic; - status_1 : IN std_ulogic; - status_2 : IN std_ulogic; - status_3 : IN std_ulogic; - status_4 : IN std_ulogic; - status_5 : IN std_ulogic; - status_6 : IN std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - interrupt : OUT std_ulogic; - clk_en : IN std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF statusicell : COMPONENT IS "clock"; - ATTRIBUTE udb_clken OF statusicell : COMPONENT IS "clk_en"; - ATTRIBUTE udb_reset OF statusicell : COMPONENT IS "reset"; - COMPONENT synccell - PORT ( - in : IN std_ulogic; - clock : IN std_ulogic; - out : OUT std_ulogic; - clk_en : IN std_ulogic; - clock_n : IN std_ulogic; - extclk : IN std_ulogic; - extclk_n : IN std_ulogic); - END COMPONENT; - ATTRIBUTE udb_clk OF synccell : COMPONENT IS "clock,clock_n,extclk,extclk_n"; - ATTRIBUTE udb_clken OF synccell : COMPONENT IS "clk_en"; - COMPONENT tfaultcell - PORT ( - tfault_dsi : OUT std_ulogic); - END COMPONENT; - COMPONENT timercell - PORT ( - clock : IN std_ulogic; - kill : IN std_ulogic; - enable : IN std_ulogic; - capture : IN std_ulogic; - timer_reset : IN std_ulogic; - tc : OUT std_ulogic; - cmp : OUT std_ulogic; - irq : OUT std_ulogic); - END COMPONENT; - COMPONENT udbclockencell - PORT ( - clock_in : IN std_ulogic; - enable : IN std_ulogic; - clock_out : OUT std_ulogic); - END COMPONENT; - COMPONENT usbcell - PORT ( - sof_int : OUT std_ulogic; - arb_int : OUT std_ulogic; - usb_int : OUT std_ulogic; - ord_int : OUT std_ulogic; - ept_int_0 : OUT std_ulogic; - ept_int_1 : OUT std_ulogic; - ept_int_2 : OUT std_ulogic; - ept_int_3 : OUT std_ulogic; - ept_int_4 : OUT std_ulogic; - ept_int_5 : OUT std_ulogic; - ept_int_6 : OUT std_ulogic; - ept_int_7 : OUT std_ulogic; - ept_int_8 : OUT std_ulogic; - dma_req_0 : OUT std_ulogic; - dma_req_1 : OUT std_ulogic; - dma_req_2 : OUT std_ulogic; - dma_req_3 : OUT std_ulogic; - dma_req_4 : OUT std_ulogic; - dma_req_5 : OUT std_ulogic; - dma_req_6 : OUT std_ulogic; - dma_req_7 : OUT std_ulogic; - dma_termin : OUT std_ulogic); - END COMPONENT; - COMPONENT vidaccell - PORT ( - data_0 : IN std_ulogic; - data_1 : IN std_ulogic; - data_2 : IN std_ulogic; - data_3 : IN std_ulogic; - data_4 : IN std_ulogic; - data_5 : IN std_ulogic; - data_6 : IN std_ulogic; - data_7 : IN std_ulogic; - strobe : IN std_ulogic; - strobe_udb : IN std_ulogic; - reset : IN std_ulogic; - idir : IN std_ulogic; - ioff : IN std_ulogic); - END COMPONENT; -BEGIN - - ClockBlock:clockblockcell - PORT MAP( - clk_bus_glb => ClockBlock_BUS_CLK, - clk_bus => ClockBlock_BUS_CLK_local, - clk_sync => ClockBlock_MASTER_CLK, - clk_32k_xtal => ClockBlock_XTAL_32KHZ, - xtal => ClockBlock_XTAL, - ilo => ClockBlock_ILO, - clk_100k => ClockBlock_100k, - clk_1k => ClockBlock_1k, - clk_32k => ClockBlock_32k, - pllout => ClockBlock_PLL_OUT, - imo => ClockBlock_IMO, - dsi_clkin_div => open, - dsi_glb_div => open, - dclk_glb_0 => \SPIM:Net_276\, - dclk_0 => \SPIM:Net_276_local\); - - Net_107:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * main_2) + (!main_0 * main_1 * !main_3) + (main_0 * !main_1 * !main_3)", - clk_inv => '0') - PORT MAP( - q => Net_107, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => Net_107); - - Net_30:macrocell - GENERIC MAP( - eqn_main => "(main_0 * !main_1 * main_3) + (main_0 * main_1 * !main_2 * !main_3) + (!main_1 * main_2 * !main_3 * main_4)", - clk_inv => '0') - PORT MAP( - q => Net_30, - clock_0 => \SPIM:Net_276\, - main_0 => Net_30, - main_1 => \SPIM:BSPIM:state_2\, - main_2 => \SPIM:BSPIM:state_1\, - main_3 => \SPIM:BSPIM:state_0\, - main_4 => \SPIM:BSPIM:mosi_from_dp\); - - Net_31:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * !main_2)", - clk_inv => '0') - PORT MAP( - q => Net_31, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - \LCD:LCDPort(0)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(0)_PAD\); - - \LCD:LCDPort(1)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 1, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(1)_PAD\); - - \LCD:LCDPort(2)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 2, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(2)_PAD\); - - \LCD:LCDPort(3)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 3, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(3)_PAD\); - - \LCD:LCDPort(4)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 4, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(4)_PAD\); - - \LCD:LCDPort(5)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 5, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(5)_PAD\); - - \LCD:LCDPort(6)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 6, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(6)_PAD\); - - \LCD:LCDPort\:logicalport - GENERIC MAP( - drive_mode => "110110110110110110110", - ibuf_enabled => "1111111", - id => "923198f5-05eb-4681-ae86-b3593c234480/ed092b9b-d398-4703-be89-cebf998501f6", - init_dr_st => "0000000", - input_sync => "1111111", - intr_mode => "00000000000000", - io_voltage => ", , , , , , ", - layout_mode => "CONTIGUOUS", - oe_conn => "0000000", - output_conn => "0000000", - output_sync => "0000000", - pin_aliases => ",,,,,,", - pin_mode => "OOOOOOO", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0000000", - sio_ibuf => "00000000", - sio_info => "00000000000000", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0000000", - spanning => 0, - sw_only => 0, - use_annotation => "0000000", - vtrip => "10101010101010", - width => 7); - - \SPIM:BSPIM:BitCounter\:count7cell - GENERIC MAP( - cy_alt_mode => 0, - cy_init_value => "0000000", - cy_period => "0001111", - cy_route_en => 1, - cy_route_ld => 0, - clk_inv => '0') - PORT MAP( - clock => \SPIM:Net_276\, - load => open, - enable => \SPIM:BSPIM:cnt_enable\, - count_6 => \SPIM:BSPIM:count_6\, - count_5 => \SPIM:BSPIM:count_5\, - count_4 => \SPIM:BSPIM:count_4\, - count_3 => \SPIM:BSPIM:count_3\, - count_2 => \SPIM:BSPIM:count_2\, - count_1 => \SPIM:BSPIM:count_1\, - count_0 => \SPIM:BSPIM:count_0\, - tc => \SPIM:BSPIM:cnt_tc\); - - \SPIM:BSPIM:RxStsReg\:statusicell - GENERIC MAP( - cy_force_order => 1, - cy_int_mask => "0000000", - cy_md_select => "1000000", - clk_inv => '0') - PORT MAP( - clock => \SPIM:Net_276\, - status_6 => \SPIM:BSPIM:rx_status_6\, - status_5 => \SPIM:BSPIM:rx_status_5\, - status_4 => \SPIM:BSPIM:rx_status_4\, - status_3 => open, - status_2 => open, - status_1 => open, - status_0 => open); - - \SPIM:BSPIM:TxStsReg\:statusicell - GENERIC MAP( - cy_force_order => 1, - cy_int_mask => "0000000", - cy_md_select => "0001001", - clk_inv => '0') - PORT MAP( - clock => \SPIM:Net_276\, - status_6 => open, - status_5 => open, - status_4 => \SPIM:BSPIM:tx_status_4\, - status_3 => \SPIM:BSPIM:load_rx_data\, - status_2 => \SPIM:BSPIM:tx_status_2\, - status_1 => \SPIM:BSPIM:tx_status_1\, - status_0 => \SPIM:BSPIM:tx_status_0\); - - \SPIM:BSPIM:cnt_enable\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * main_8) + (!main_0 * !main_1 * main_2 * !main_8) + (main_0 * main_1 * main_8) + (main_0 * main_2 * main_8) + (main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7 * main_8)", - clk_inv => '0') - PORT MAP( - q => \SPIM:BSPIM:cnt_enable\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:cnt_enable\); - - \SPIM:BSPIM:load_cond\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8) + (main_0 * !main_1 * !main_2 * !main_8) + (main_1 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8) + (main_2 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8)", - clk_inv => '0') - PORT MAP( - q => \SPIM:BSPIM:load_cond\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:load_cond\); - - \SPIM:BSPIM:load_rx_data\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * !main_3 * main_4)") - PORT MAP( - q => \SPIM:BSPIM:load_rx_data\, - main_0 => \SPIM:BSPIM:count_4\, - main_1 => \SPIM:BSPIM:count_3\, - main_2 => \SPIM:BSPIM:count_2\, - main_3 => \SPIM:BSPIM:count_1\, - main_4 => \SPIM:BSPIM:count_0\); - - \SPIM:BSPIM:rx_status_6\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)") - PORT MAP( - q => \SPIM:BSPIM:rx_status_6\, - main_0 => \SPIM:BSPIM:count_4\, - main_1 => \SPIM:BSPIM:count_3\, - main_2 => \SPIM:BSPIM:count_2\, - main_3 => \SPIM:BSPIM:count_1\, - main_4 => \SPIM:BSPIM:count_0\, - main_5 => \SPIM:BSPIM:rx_status_4\); - - \SPIM:BSPIM:sR8:Dp:u0\:datapathcell - GENERIC MAP( - a0_init => "00000000", - a1_init => "00000000", - ce0_sync => 1, - ce1_sync => 1, - cl0_sync => 1, - cl1_sync => 1, - cmsb_sync => 1, - co_msb_sync => 1, - cy_dpconfig => "0000000000000000000000001100000000000001010000000001000100010000000000011100000000010001000000000000000101000000000000010100000011111111000000001111111111111111000000000010001000001100111100000000000000000100", - d0_init => "00000000", - d1_init => "00000000", - f0_blk_sync => 1, - f0_bus_sync => 1, - f1_blk_sync => 1, - f1_bus_sync => 1, - ff0_sync => 1, - ff1_sync => 1, - ov_msb_sync => 1, - so_sync => 1, - z0_sync => 1, - z1_sync => 1, - uses_p_in => '0', - uses_p_out => '0', - clk_inv => '0') - PORT MAP( - clock => \SPIM:Net_276\, - cs_addr_2 => \SPIM:BSPIM:state_2\, - cs_addr_1 => \SPIM:BSPIM:state_1\, - cs_addr_0 => \SPIM:BSPIM:state_0\, - route_si => Net_20, - f1_load => \SPIM:BSPIM:load_rx_data\, - so_comb => \SPIM:BSPIM:mosi_from_dp\, - f0_bus_stat_comb => \SPIM:BSPIM:tx_status_2\, - f0_blk_stat_comb => \SPIM:BSPIM:tx_status_1\, - f1_bus_stat_comb => \SPIM:BSPIM:rx_status_5\, - f1_blk_stat_comb => \SPIM:BSPIM:rx_status_4\, - busclk => ClockBlock_BUS_CLK); - - \SPIM:BSPIM:state_0\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7) + (main_0 * !main_2) + (!main_1 * !main_2 * main_8) + (main_1 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8)", - clk_inv => '0') - PORT MAP( - q => \SPIM:BSPIM:state_0\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:state_1\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2) + (!main_0 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8) + (main_0 * main_1) + (main_0 * main_2) + (main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7)", - clk_inv => '0') - PORT MAP( - q => \SPIM:BSPIM:state_1\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:state_2\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8) + (!main_0 * main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7)", - clk_inv => '0') - PORT MAP( - q => \SPIM:BSPIM:state_2\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:tx_status_0\:macrocell - GENERIC MAP( - eqn_main => "(main_0 * !main_1 * main_2)") - PORT MAP( - q => \SPIM:BSPIM:tx_status_0\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - \SPIM:BSPIM:tx_status_4\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2)") - PORT MAP( - q => \SPIM:BSPIM:tx_status_4\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - m_miso_pin:logicalport - GENERIC MAP( - drive_mode => "001", - ibuf_enabled => "1", - id => "1425177d-0d0e-4468-8bcc-e638e5509a9b", - init_dr_st => "0", - input_sync => "0", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "0", - output_sync => "0", - pin_aliases => "", - pin_mode => "I", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "00", - width => 1); - - m_miso_pin(0):iocell - GENERIC MAP( - logicalport => "m_miso_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - fb => Net_20, - pad_in => m_miso_pin(0)_PAD); - - m_mosi_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "ed092b9b-d398-4703-be89-cebf998501f6", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_mosi_pin(0):iocell - GENERIC MAP( - logicalport => "m_mosi_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_30, - pad_out => m_mosi_pin(0)_PAD, - pad_in => m_mosi_pin(0)_PAD); - - m_sclk_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "640f8e70-5666-4015-9ac8-6ed7f71d8e01", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_sclk_pin(0):iocell - GENERIC MAP( - logicalport => "m_sclk_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_31, - pad_out => m_sclk_pin(0)_PAD, - pad_in => m_sclk_pin(0)_PAD); - - m_ss_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "5ec2583b-d6a1-4a86-ac3e-b170e6f000fd", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_ss_pin(0):iocell - GENERIC MAP( - logicalport => "m_ss_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_107, - pad_out => m_ss_pin(0)_PAD, - pad_in => m_ss_pin(0)_PAD); - -END __DEFAULT__; diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.lib b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.lib deleted file mode 100644 index 5402b07..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.lib +++ /dev/null @@ -1,2337 +0,0 @@ -library (timing) { - timescale : 1ns; - capacitive_load_unit (1,ff); - include_file(device.lib); - cell (macrocell1) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell2) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell3) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (iocell1) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.231; - intrinsic_fall : 15.231; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.231; - intrinsic_fall : 15.231; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.499; - intrinsic_fall : 17.499; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 18.989; - intrinsic_fall : 18.989; - } - } - } - cell (iocell2) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.254; - intrinsic_fall : 15.254; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.254; - intrinsic_fall : 15.254; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 16.253; - intrinsic_fall : 16.253; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 16.490; - intrinsic_fall : 16.490; - } - } - } - cell (iocell3) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.219; - intrinsic_fall : 16.219; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.219; - intrinsic_fall : 16.219; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 21.315; - intrinsic_fall : 21.315; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 17.470; - intrinsic_fall : 17.470; - } - } - } - cell (iocell4) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.844; - intrinsic_fall : 16.844; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.844; - intrinsic_fall : 16.844; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.182; - intrinsic_fall : 17.182; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 24.720; - intrinsic_fall : 24.720; - } - } - } - cell (iocell5) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.776; - intrinsic_fall : 15.776; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.776; - intrinsic_fall : 15.776; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 20.119; - intrinsic_fall : 20.119; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 23.475; - intrinsic_fall : 23.475; - } - } - } - cell (iocell6) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.458; - intrinsic_fall : 16.458; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.458; - intrinsic_fall : 16.458; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 16.998; - intrinsic_fall : 16.998; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.553; - intrinsic_fall : 25.553; - } - } - } - cell (iocell7) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.998; - intrinsic_fall : 15.998; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.998; - intrinsic_fall : 15.998; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 19.701; - intrinsic_fall : 19.701; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 23.795; - intrinsic_fall : 23.795; - } - } - } - cell (statusicell1) { - pin (clock) { - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - pin (reset) { - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - } - pin (status_0) { - direction : input; - } - pin (status_1) { - direction : input; - } - pin (status_2) { - direction : input; - } - pin (status_3) { - direction : input; - } - pin (status_4) { - direction : input; - } - pin (status_5) { - direction : input; - } - pin (status_6) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (interrupt) { - direction : output; - } - } - cell (statusicell2) { - pin (clock) { - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - pin (reset) { - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - } - pin (status_0) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (status_1) { - direction : input; - } - pin (status_2) { - direction : input; - } - pin (status_3) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (status_4) { - direction : input; - } - pin (status_5) { - direction : input; - } - pin (status_6) { - direction : input; - } - pin (interrupt) { - direction : output; - } - } - cell (macrocell4) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell5) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell6) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (macrocell7) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (datapathcell1) { - pin (clk_en) { - direction : input; - } - pin (reset) { - direction : input; - } - pin (cs_addr_0) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (cs_addr_1) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (cs_addr_2) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (route_si) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.77; - intrinsic_fall : 6.77; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.78; - intrinsic_fall : 6.78; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.65; - intrinsic_fall : 7.65; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (route_ci) { - direction : input; - } - pin (f0_load) { - direction : input; - } - pin (f1_load) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.85; - intrinsic_fall : 1.85; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (d0_load) { - direction : input; - } - pin (d1_load) { - direction : input; - } - pin (p_in_0) { - direction : input; - } - pin (p_in_1) { - direction : input; - } - pin (p_in_2) { - direction : input; - } - pin (p_in_3) { - direction : input; - } - pin (p_in_4) { - direction : input; - } - pin (p_in_5) { - direction : input; - } - pin (p_in_6) { - direction : input; - } - pin (p_in_7) { - direction : input; - } - pin (ce0i) { - direction : input; - } - pin (cl0i) { - direction : input; - } - pin (z0i) { - direction : input; - } - pin (ff0i) { - direction : input; - } - pin (ce1i) { - direction : input; - } - pin (cl1i) { - direction : input; - } - pin (z1i) { - direction : input; - } - pin (ff1i) { - direction : input; - } - pin (cap0i) { - direction : input; - } - pin (cap1i) { - direction : input; - } - pin (ci) { - direction : input; - } - pin (sir) { - direction : input; - } - pin (cfbi) { - direction : input; - } - pin (sil) { - direction : input; - } - pin (cmsbi) { - direction : input; - } - pin (busclk) { - direction : input; - clock : true; - } - pin (clock) { - direction : input; - clock : true; - } - pin (ce0_reg) { - direction : output; - } - pin (cl0_reg) { - direction : output; - } - pin (z0_reg) { - direction : output; - } - pin (f0_reg) { - direction : output; - } - pin (ce1_reg) { - direction : output; - } - pin (cl1_reg) { - direction : output; - } - pin (z1_reg) { - direction : output; - } - pin (f1_reg) { - direction : output; - } - pin (ov_msb_reg) { - direction : output; - } - pin (co_msb_reg) { - direction : output; - } - pin (cmsb_reg) { - direction : output; - } - pin (so_reg) { - direction : output; - } - pin (f0_bus_stat_reg) { - direction : output; - } - pin (f0_blk_stat_reg) { - direction : output; - } - pin (f1_bus_stat_reg) { - direction : output; - } - pin (f1_blk_stat_reg) { - direction : output; - } - pin (ce0_comb) { - direction : output; - } - pin (cl0_comb) { - direction : output; - } - pin (z0_comb) { - direction : output; - } - pin (f0_comb) { - direction : output; - } - pin (ce1_comb) { - direction : output; - } - pin (cl1_comb) { - direction : output; - } - pin (z1_comb) { - direction : output; - } - pin (f1_comb) { - direction : output; - } - pin (ov_msb_comb) { - direction : output; - } - pin (co_msb_comb) { - direction : output; - } - pin (cmsb_comb) { - direction : output; - } - pin (so_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 8.16; - intrinsic_fall : 8.16; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 8.30; - intrinsic_fall : 8.30; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.78; - intrinsic_fall : 5.78; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 6.12; - intrinsic_fall : 6.12; - } - } - pin (f0_bus_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - } - pin (f0_blk_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - } - pin (f1_bus_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - } - pin (f1_blk_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - } - pin (p_out_0) { - direction : output; - } - pin (p_out_1) { - direction : output; - } - pin (p_out_2) { - direction : output; - } - pin (p_out_3) { - direction : output; - } - pin (p_out_4) { - direction : output; - } - pin (p_out_5) { - direction : output; - } - pin (p_out_6) { - direction : output; - } - pin (p_out_7) { - direction : output; - } - pin (ce0) { - direction : output; - } - pin (cl0) { - direction : output; - } - pin (z0) { - direction : output; - } - pin (ff0) { - direction : output; - } - pin (ce1) { - direction : output; - } - pin (cl1) { - direction : output; - } - pin (z1) { - direction : output; - } - pin (ff1) { - direction : output; - } - pin (cap0) { - direction : output; - } - pin (cap1) { - direction : output; - } - pin (co_msb) { - direction : output; - } - pin (sol_msb) { - direction : output; - } - pin (cfbo) { - direction : output; - } - pin (sor) { - direction : output; - } - pin (cmsbo) { - direction : output; - } - } - cell (macrocell8) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell9) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell10) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell11) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((main_0 * !main_1 * main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((main_0 * !main_1 * main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (macrocell12) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (iocell8) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.689; - intrinsic_fall : 16.689; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.689; - intrinsic_fall : 16.689; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 19.103; - intrinsic_fall : 19.103; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 26.840; - intrinsic_fall : 26.840; - } - } - } - cell (iocell9) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 17.119; - intrinsic_fall : 17.119; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 17.119; - intrinsic_fall : 17.119; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.971; - intrinsic_fall : 17.971; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.711; - intrinsic_fall : 25.711; - } - } - } - cell (iocell10) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.630; - intrinsic_fall : 15.630; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.630; - intrinsic_fall : 15.630; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.982; - intrinsic_fall : 17.982; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.772; - intrinsic_fall : 25.772; - } - } - } - cell (iocell11) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.393; - intrinsic_fall : 15.393; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.393; - intrinsic_fall : 15.393; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 18.672; - intrinsic_fall : 18.672; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.214; - intrinsic_fall : 25.214; - } - } - } -} diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.vh2 b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.vh2 deleted file mode 100644 index d1b0407..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_r.vh2 +++ /dev/null @@ -1,1479 +0,0 @@ --- Project: SPI_Design01 --- Generated: 01/16/2013 14:35:47 --- - -ENTITY SPI_Design01 IS - PORT( - \LCD:LCDPort(0)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(1)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(2)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(3)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(4)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(5)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(6)_PAD\ : OUT std_ulogic; - m_miso_pin(0)_PAD : IN std_ulogic; - m_mosi_pin(0)_PAD : OUT std_ulogic; - m_sclk_pin(0)_PAD : OUT std_ulogic; - m_ss_pin(0)_PAD : OUT std_ulogic); - ATTRIBUTE voltage_Vio1 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vusb OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vddd OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio3 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vdda OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio0 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio2 OF __DEFAULT__ : ENTITY IS 3.3e0; -END SPI_Design01; - -ARCHITECTURE __DEFAULT__ OF SPI_Design01 IS - SIGNAL ClockBlock_100k : bit; - SIGNAL ClockBlock_1k : bit; - SIGNAL ClockBlock_32k : bit; - SIGNAL ClockBlock_BUS_CLK : bit; - ATTRIBUTE global_signal OF ClockBlock_BUS_CLK : SIGNAL IS true; - SIGNAL ClockBlock_BUS_CLK_local : bit; - SIGNAL ClockBlock_ILO : bit; - SIGNAL ClockBlock_IMO : bit; - SIGNAL ClockBlock_MASTER_CLK : bit; - SIGNAL ClockBlock_PLL_OUT : bit; - SIGNAL ClockBlock_XTAL : bit; - SIGNAL ClockBlock_XTAL_32KHZ : bit; - SIGNAL Net_107 : bit; - ATTRIBUTE placement_force OF Net_107 : SIGNAL IS "U(0,5,B)1"; - SIGNAL Net_20 : bit; - SIGNAL Net_30 : bit; - ATTRIBUTE placement_force OF Net_30 : SIGNAL IS "U(0,4,B)1"; - SIGNAL Net_31 : bit; - ATTRIBUTE placement_force OF Net_31 : SIGNAL IS "U(0,5,A)3"; - SIGNAL \SPIM:BSPIM:cnt_enable\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:cnt_enable\ : SIGNAL IS "U(0,4,A)0"; - SIGNAL \SPIM:BSPIM:cnt_tc\ : bit; - SIGNAL \SPIM:BSPIM:count_0\ : bit; - SIGNAL \SPIM:BSPIM:count_1\ : bit; - SIGNAL \SPIM:BSPIM:count_2\ : bit; - SIGNAL \SPIM:BSPIM:count_3\ : bit; - SIGNAL \SPIM:BSPIM:count_4\ : bit; - SIGNAL \SPIM:BSPIM:count_5\ : bit; - SIGNAL \SPIM:BSPIM:count_6\ : bit; - SIGNAL \SPIM:BSPIM:load_cond\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:load_cond\ : SIGNAL IS "U(0,4,B)0"; - SIGNAL \SPIM:BSPIM:load_rx_data\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:load_rx_data\ : SIGNAL IS "U(0,4,A)1"; - SIGNAL \SPIM:BSPIM:mosi_from_dp\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_4\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_5\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_6\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:rx_status_6\ : SIGNAL IS "U(0,4,A)2"; - SIGNAL \SPIM:BSPIM:state_0\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:state_0\ : SIGNAL IS "U(0,5,A)2"; - SIGNAL \SPIM:BSPIM:state_1\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:state_1\ : SIGNAL IS "U(0,5,B)0"; - SIGNAL \SPIM:BSPIM:state_2\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:state_2\ : SIGNAL IS "U(0,5,A)0"; - SIGNAL \SPIM:BSPIM:tx_status_0\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:tx_status_0\ : SIGNAL IS "U(0,5,A)1"; - SIGNAL \SPIM:BSPIM:tx_status_1\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_2\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_4\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:tx_status_4\ : SIGNAL IS "U(0,5,B)2"; - SIGNAL \SPIM:Net_276\ : bit; - ATTRIBUTE global_signal OF \SPIM:Net_276\ : SIGNAL IS true; - SIGNAL \SPIM:Net_276_local\ : bit; - SIGNAL __ONE__ : bit; - ATTRIBUTE POWER OF __ONE__ : SIGNAL IS true; - SIGNAL __ZERO__ : bit; - ATTRIBUTE GROUND OF __ZERO__ : SIGNAL IS true; - SIGNAL tmpOE__m_miso_pin_net_0 : bit; - ATTRIBUTE POWER OF tmpOE__m_miso_pin_net_0 : SIGNAL IS true; - SIGNAL zero : bit; - ATTRIBUTE GROUND OF zero : SIGNAL IS true; - ATTRIBUTE Location OF ClockBlock : LABEL IS "F(Clock,0)"; - ATTRIBUTE lib_model OF Net_107 : LABEL IS "macrocell1"; - ATTRIBUTE Location OF Net_107 : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF Net_30 : LABEL IS "macrocell2"; - ATTRIBUTE Location OF Net_30 : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF Net_31 : LABEL IS "macrocell3"; - ATTRIBUTE Location OF Net_31 : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \LCD:LCDPort(0)\ : LABEL IS "iocell1"; - ATTRIBUTE Location OF \LCD:LCDPort(0)\ : LABEL IS "P2[0]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(1)\ : LABEL IS "iocell2"; - ATTRIBUTE Location OF \LCD:LCDPort(1)\ : LABEL IS "P2[1]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(2)\ : LABEL IS "iocell3"; - ATTRIBUTE Location OF \LCD:LCDPort(2)\ : LABEL IS "P2[2]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(3)\ : LABEL IS "iocell4"; - ATTRIBUTE Location OF \LCD:LCDPort(3)\ : LABEL IS "P2[3]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(4)\ : LABEL IS "iocell5"; - ATTRIBUTE Location OF \LCD:LCDPort(4)\ : LABEL IS "P2[4]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(5)\ : LABEL IS "iocell6"; - ATTRIBUTE Location OF \LCD:LCDPort(5)\ : LABEL IS "P2[5]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(6)\ : LABEL IS "iocell7"; - ATTRIBUTE Location OF \LCD:LCDPort(6)\ : LABEL IS "P2[6]"; - ATTRIBUTE Location OF \SPIM:BSPIM:BitCounter\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:RxStsReg\ : LABEL IS "statusicell1"; - ATTRIBUTE Location OF \SPIM:BSPIM:RxStsReg\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:TxStsReg\ : LABEL IS "statusicell2"; - ATTRIBUTE Location OF \SPIM:BSPIM:TxStsReg\ : LABEL IS "U(1,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:cnt_enable\ : LABEL IS "macrocell4"; - ATTRIBUTE Location OF \SPIM:BSPIM:cnt_enable\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:load_cond\ : LABEL IS "macrocell5"; - ATTRIBUTE Location OF \SPIM:BSPIM:load_cond\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:load_rx_data\ : LABEL IS "macrocell6"; - ATTRIBUTE Location OF \SPIM:BSPIM:load_rx_data\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:rx_status_6\ : LABEL IS "macrocell7"; - ATTRIBUTE Location OF \SPIM:BSPIM:rx_status_6\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:sR8:Dp:u0\ : LABEL IS "datapathcell1"; - ATTRIBUTE Location OF \SPIM:BSPIM:sR8:Dp:u0\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_0\ : LABEL IS "macrocell8"; - ATTRIBUTE Location OF \SPIM:BSPIM:state_0\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_1\ : LABEL IS "macrocell9"; - ATTRIBUTE Location OF \SPIM:BSPIM:state_1\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_2\ : LABEL IS "macrocell10"; - ATTRIBUTE Location OF \SPIM:BSPIM:state_2\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:tx_status_0\ : LABEL IS "macrocell11"; - ATTRIBUTE Location OF \SPIM:BSPIM:tx_status_0\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:tx_status_4\ : LABEL IS "macrocell12"; - ATTRIBUTE Location OF \SPIM:BSPIM:tx_status_4\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF m_miso_pin(0) : LABEL IS "iocell8"; - ATTRIBUTE Location OF m_miso_pin(0) : LABEL IS "P0[0]"; - ATTRIBUTE lib_model OF m_mosi_pin(0) : LABEL IS "iocell9"; - ATTRIBUTE Location OF m_mosi_pin(0) : LABEL IS "P0[5]"; - ATTRIBUTE lib_model OF m_sclk_pin(0) : LABEL IS "iocell10"; - ATTRIBUTE Location OF m_sclk_pin(0) : LABEL IS "P0[6]"; - ATTRIBUTE lib_model OF m_ss_pin(0) : LABEL IS "iocell11"; - ATTRIBUTE Location OF m_ss_pin(0) : LABEL IS "P0[7]"; - COMPONENT abufcell - END COMPONENT; - COMPONENT boostcell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT cachecell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT cancell - PORT ( - clock : IN std_ulogic; - can_rx : IN std_ulogic; - can_tx : OUT std_ulogic; - can_tx_en : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT capsensecell - PORT ( - lft : IN std_ulogic; - rt : IN std_ulogic); - END COMPONENT; - COMPONENT clockblockcell - PORT ( - dclk_0 : OUT std_ulogic; - dclk_1 : OUT std_ulogic; - dclk_2 : OUT std_ulogic; - dclk_3 : OUT std_ulogic; - dclk_4 : OUT std_ulogic; - dclk_5 : OUT std_ulogic; - dclk_6 : OUT std_ulogic; - dclk_7 : OUT std_ulogic; - dclk_glb_0 : OUT std_ulogic; - dclk_glb_1 : OUT std_ulogic; - dclk_glb_2 : OUT std_ulogic; - dclk_glb_3 : OUT std_ulogic; - dclk_glb_4 : OUT std_ulogic; - dclk_glb_5 : OUT std_ulogic; - dclk_glb_6 : OUT std_ulogic; - dclk_glb_7 : OUT std_ulogic; - aclk_0 : OUT std_ulogic; - aclk_1 : OUT std_ulogic; - aclk_2 : OUT std_ulogic; - aclk_3 : OUT std_ulogic; - aclk_glb_0 : OUT std_ulogic; - aclk_glb_1 : OUT std_ulogic; - aclk_glb_2 : OUT std_ulogic; - aclk_glb_3 : OUT std_ulogic; - clk_a_dig_0 : OUT std_ulogic; - clk_a_dig_1 : OUT std_ulogic; - clk_a_dig_2 : OUT std_ulogic; - clk_a_dig_3 : OUT std_ulogic; - clk_a_dig_glb_0 : OUT std_ulogic; - clk_a_dig_glb_1 : OUT std_ulogic; - clk_a_dig_glb_2 : OUT std_ulogic; - clk_a_dig_glb_3 : OUT std_ulogic; - clk_bus : OUT std_ulogic; - clk_bus_glb : OUT std_ulogic; - clk_sync : OUT std_ulogic; - clk_32k_xtal : OUT std_ulogic; - clk_100k : OUT std_ulogic; - clk_32k : OUT std_ulogic; - clk_1k : OUT std_ulogic; - clk_usb : OUT std_ulogic; - xmhz_xerr : OUT std_ulogic; - pll_lock_out : OUT std_ulogic; - dsi_dig_div_0 : IN std_ulogic; - dsi_dig_div_1 : IN std_ulogic; - dsi_dig_div_2 : IN std_ulogic; - dsi_dig_div_3 : IN std_ulogic; - dsi_dig_div_4 : IN std_ulogic; - dsi_dig_div_5 : IN std_ulogic; - dsi_dig_div_6 : IN std_ulogic; - dsi_dig_div_7 : IN std_ulogic; - dsi_ana_div_0 : IN std_ulogic; - dsi_ana_div_1 : IN std_ulogic; - dsi_ana_div_2 : IN std_ulogic; - dsi_ana_div_3 : IN std_ulogic; - dsi_glb_div : IN std_ulogic; - dsi_clkin_div : IN std_ulogic; - imo : OUT std_ulogic; - ilo : OUT std_ulogic; - xtal : OUT std_ulogic; - pllout : OUT std_ulogic); - END COMPONENT; - COMPONENT comparatorcell - PORT ( - out : OUT std_ulogic; - clk_udb : IN std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT controlcell - PORT ( - control_0 : OUT std_ulogic; - control_1 : OUT std_ulogic; - control_2 : OUT std_ulogic; - control_3 : OUT std_ulogic; - control_4 : OUT std_ulogic; - control_5 : OUT std_ulogic; - control_6 : OUT std_ulogic; - control_7 : OUT std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - clk_en : IN std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT count7cell - PORT ( - clock : IN std_ulogic; - reset : IN std_ulogic; - load : IN std_ulogic; - enable : IN std_ulogic; - clk_en : IN std_ulogic; - count_0 : OUT std_ulogic; - count_1 : OUT std_ulogic; - count_2 : OUT std_ulogic; - count_3 : OUT std_ulogic; - count_4 : OUT std_ulogic; - count_5 : OUT std_ulogic; - count_6 : OUT std_ulogic; - tc : OUT std_ulogic; - clock_n : IN std_ulogic; - extclk : IN std_ulogic; - extclk_n : IN std_ulogic); - END COMPONENT; - COMPONENT csabufcell - PORT ( - swon : IN std_ulogic); - END COMPONENT; - COMPONENT datapathcell - PORT ( - clock : IN std_ulogic; - clk_en : IN std_ulogic; - reset : IN std_ulogic; - cs_addr_0 : IN std_ulogic; - cs_addr_1 : IN std_ulogic; - cs_addr_2 : IN std_ulogic; - route_si : IN std_ulogic; - route_ci : IN std_ulogic; - f0_load : IN std_ulogic; - f1_load : IN std_ulogic; - d0_load : IN std_ulogic; - d1_load : IN std_ulogic; - ce0_reg : OUT std_ulogic; - cl0_reg : OUT std_ulogic; - z0_reg : OUT std_ulogic; - f0_reg : OUT std_ulogic; - ce1_reg : OUT std_ulogic; - cl1_reg : OUT std_ulogic; - z1_reg : OUT std_ulogic; - f1_reg : OUT std_ulogic; - ov_msb_reg : OUT std_ulogic; - co_msb_reg : OUT std_ulogic; - cmsb_reg : OUT std_ulogic; - so_reg : OUT std_ulogic; - f0_bus_stat_reg : OUT std_ulogic; - f0_blk_stat_reg : OUT std_ulogic; - f1_bus_stat_reg : OUT std_ulogic; - f1_blk_stat_reg : OUT std_ulogic; - ce0_comb : OUT std_ulogic; - cl0_comb : OUT std_ulogic; - z0_comb : OUT std_ulogic; - f0_comb : OUT std_ulogic; - ce1_comb : OUT std_ulogic; - cl1_comb : OUT std_ulogic; - z1_comb : OUT std_ulogic; - f1_comb : OUT std_ulogic; - ov_msb_comb : OUT std_ulogic; - co_msb_comb : OUT std_ulogic; - cmsb_comb : OUT std_ulogic; - so_comb : OUT std_ulogic; - f0_bus_stat_comb : OUT std_ulogic; - f0_blk_stat_comb : OUT std_ulogic; - f1_bus_stat_comb : OUT std_ulogic; - f1_blk_stat_comb : OUT std_ulogic; - ce0 : OUT std_ulogic; - ce0i : IN std_ulogic; - p_in_0 : IN std_ulogic; - p_in_1 : IN std_ulogic; - p_in_2 : IN std_ulogic; - p_in_3 : IN std_ulogic; - p_in_4 : IN std_ulogic; - p_in_5 : IN std_ulogic; - p_in_6 : IN std_ulogic; - p_in_7 : IN std_ulogic; - p_out_0 : OUT std_ulogic; - p_out_1 : OUT std_ulogic; - p_out_2 : OUT std_ulogic; - p_out_3 : OUT std_ulogic; - p_out_4 : OUT std_ulogic; - p_out_5 : OUT std_ulogic; - p_out_6 : OUT std_ulogic; - p_out_7 : OUT std_ulogic; - cl0i : IN std_ulogic; - cl0 : OUT std_ulogic; - z0i : IN std_ulogic; - z0 : OUT std_ulogic; - ff0i : IN std_ulogic; - ff0 : OUT std_ulogic; - ce1i : IN std_ulogic; - ce1 : OUT std_ulogic; - cl1i : IN std_ulogic; - cl1 : OUT std_ulogic; - z1i : IN std_ulogic; - z1 : OUT std_ulogic; - ff1i : IN std_ulogic; - ff1 : OUT std_ulogic; - cap0i : IN std_ulogic; - cap0 : OUT std_ulogic; - cap1i : IN std_ulogic; - cap1 : OUT std_ulogic; - ci : IN std_ulogic; - co_msb : OUT std_ulogic; - sir : IN std_ulogic; - sol_msb : OUT std_ulogic; - cfbi : IN std_ulogic; - cfbo : OUT std_ulogic; - sil : IN std_ulogic; - sor : OUT std_ulogic; - cmsbi : IN std_ulogic; - cmsbo : OUT std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT decimatorcell - PORT ( - aclock : IN std_ulogic; - mod_dat_0 : IN std_ulogic; - mod_dat_1 : IN std_ulogic; - mod_dat_2 : IN std_ulogic; - mod_dat_3 : IN std_ulogic; - ext_start : IN std_ulogic; - modrst : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT dfbcell - PORT ( - clock : IN std_ulogic; - in_1 : IN std_ulogic; - in_2 : IN std_ulogic; - out_1 : OUT std_ulogic; - out_2 : OUT std_ulogic; - dmareq_1 : OUT std_ulogic; - dmareq_2 : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT drqcell - PORT ( - dmareq : IN std_ulogic; - termin : IN std_ulogic; - termout : OUT std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT dsmodcell - PORT ( - aclock : IN std_ulogic; - modbitin_udb : IN std_ulogic; - reset_udb : IN std_ulogic; - reset_dec : IN std_ulogic; - dec_clock : OUT std_ulogic; - mod_dat_0 : OUT std_ulogic; - mod_dat_1 : OUT std_ulogic; - mod_dat_2 : OUT std_ulogic; - mod_dat_3 : OUT std_ulogic; - dout_udb_0 : OUT std_ulogic; - dout_udb_1 : OUT std_ulogic; - dout_udb_2 : OUT std_ulogic; - dout_udb_3 : OUT std_ulogic; - dout_udb_4 : OUT std_ulogic; - dout_udb_5 : OUT std_ulogic; - dout_udb_6 : OUT std_ulogic; - dout_udb_7 : OUT std_ulogic; - extclk_cp_udb : IN std_ulogic; - clk_udb : IN std_ulogic); - END COMPONENT; - COMPONENT emifcell - PORT ( - EM_clock : OUT std_ulogic; - EM_CEn : OUT std_ulogic; - EM_OEn : OUT std_ulogic; - EM_ADSCn : OUT std_ulogic; - EM_sleep : OUT std_ulogic; - EM_WRn : OUT std_ulogic; - dataport_OE : OUT std_ulogic; - dataport_OEn : OUT std_ulogic; - wr : OUT std_ulogic; - rd : OUT std_ulogic; - udb_stall : IN std_ulogic; - udb_ready : IN std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT i2ccell - PORT ( - clock : IN std_ulogic; - scl_in : IN std_ulogic; - sda_in : IN std_ulogic; - scl_out : OUT std_ulogic; - sda_out : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT interrupt - PORT ( - interrupt : IN std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT iocell - PORT ( - pin_input : IN std_ulogic; - oe : IN std_ulogic; - clock : IN std_ulogic; - fb : OUT std_ulogic; - pad_in : IN std_ulogic; - pad_out : OUT std_ulogic); - END COMPONENT; - COMPONENT lcdctrlcell - PORT ( - drive_en : IN std_ulogic; - frame : IN std_ulogic; - data_clk : IN std_ulogic; - en_hi : IN std_ulogic; - dac_dis : IN std_ulogic; - chop_clk : IN std_ulogic; - int_clr : IN std_ulogic; - lp_ack_udb : IN std_ulogic; - mode_1 : IN std_ulogic; - mode_2 : IN std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT logicalport - PORT ( - interrupt : OUT std_ulogic; - precharge : IN std_ulogic); - END COMPONENT; - COMPONENT lpfcell - END COMPONENT; - COMPONENT lvdcell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT m0s8clockblockcell - PORT ( - imo : OUT std_ulogic; - ext : OUT std_ulogic; - eco : OUT std_ulogic; - ilo : OUT std_ulogic; - wco : OUT std_ulogic; - dbl : OUT std_ulogic; - pll : OUT std_ulogic; - dpll : OUT std_ulogic; - dsi_out_0 : OUT std_ulogic; - dsi_out_1 : OUT std_ulogic; - dsi_out_2 : OUT std_ulogic; - dsi_out_3 : OUT std_ulogic; - lfclk : OUT std_ulogic; - hfclk : OUT std_ulogic; - sysclk : OUT std_ulogic; - halfsysclk : OUT std_ulogic; - udb_div_0 : OUT std_ulogic; - udb_div_1 : OUT std_ulogic; - udb_div_2 : OUT std_ulogic; - udb_div_3 : OUT std_ulogic; - udb_div_4 : OUT std_ulogic; - udb_div_5 : OUT std_ulogic; - udb_div_6 : OUT std_ulogic; - udb_div_7 : OUT std_ulogic; - uab_div_0 : OUT std_ulogic; - uab_div_1 : OUT std_ulogic; - uab_div_2 : OUT std_ulogic; - uab_div_3 : OUT std_ulogic; - ff_div_0 : OUT std_ulogic; - ff_div_1 : OUT std_ulogic; - ff_div_2 : OUT std_ulogic; - ff_div_3 : OUT std_ulogic; - ff_div_4 : OUT std_ulogic; - ff_div_5 : OUT std_ulogic; - ff_div_6 : OUT std_ulogic; - ff_div_7 : OUT std_ulogic; - ff_div_8 : OUT std_ulogic; - ff_div_9 : OUT std_ulogic; - ff_div_10 : OUT std_ulogic; - ff_div_11 : OUT std_ulogic; - ff_div_12 : OUT std_ulogic; - ff_div_13 : OUT std_ulogic; - ff_div_14 : OUT std_ulogic; - ff_div_15 : OUT std_ulogic; - dsi_in_0 : IN std_ulogic; - dsi_in_1 : IN std_ulogic; - dsi_in_2 : IN std_ulogic; - dsi_in_3 : IN std_ulogic); - END COMPONENT; - COMPONENT m0s8lcdcell - PORT ( - common_0 : OUT std_ulogic; - common_1 : OUT std_ulogic; - common_2 : OUT std_ulogic; - common_3 : OUT std_ulogic; - common_4 : OUT std_ulogic; - common_5 : OUT std_ulogic; - common_6 : OUT std_ulogic; - common_7 : OUT std_ulogic; - common_8 : OUT std_ulogic; - common_9 : OUT std_ulogic; - common_10 : OUT std_ulogic; - common_11 : OUT std_ulogic; - common_12 : OUT std_ulogic; - common_13 : OUT std_ulogic; - common_14 : OUT std_ulogic; - common_15 : OUT std_ulogic; - segment_0 : OUT std_ulogic; - segment_1 : OUT std_ulogic; - segment_2 : OUT std_ulogic; - segment_3 : OUT std_ulogic; - segment_4 : OUT std_ulogic; - segment_5 : OUT std_ulogic; - segment_6 : OUT std_ulogic; - segment_7 : OUT std_ulogic; - segment_8 : OUT std_ulogic; - segment_9 : OUT std_ulogic; - segment_10 : OUT std_ulogic; - segment_11 : OUT std_ulogic; - segment_12 : OUT std_ulogic; - segment_13 : OUT std_ulogic; - segment_14 : OUT std_ulogic; - segment_15 : OUT std_ulogic; - segment_16 : OUT std_ulogic; - segment_17 : OUT std_ulogic; - segment_18 : OUT std_ulogic; - segment_19 : OUT std_ulogic; - segment_20 : OUT std_ulogic; - segment_21 : OUT std_ulogic; - segment_22 : OUT std_ulogic; - segment_23 : OUT std_ulogic; - segment_24 : OUT std_ulogic; - segment_25 : OUT std_ulogic; - segment_26 : OUT std_ulogic; - segment_27 : OUT std_ulogic; - segment_28 : OUT std_ulogic; - segment_29 : OUT std_ulogic; - segment_30 : OUT std_ulogic; - segment_31 : OUT std_ulogic; - segment_32 : OUT std_ulogic; - segment_33 : OUT std_ulogic; - segment_34 : OUT std_ulogic; - segment_35 : OUT std_ulogic; - segment_36 : OUT std_ulogic; - segment_37 : OUT std_ulogic; - segment_38 : OUT std_ulogic; - segment_39 : OUT std_ulogic; - segment_40 : OUT std_ulogic; - segment_41 : OUT std_ulogic; - segment_42 : OUT std_ulogic; - segment_43 : OUT std_ulogic; - segment_44 : OUT std_ulogic; - segment_45 : OUT std_ulogic; - segment_46 : OUT std_ulogic; - segment_47 : OUT std_ulogic; - segment_48 : OUT std_ulogic; - segment_49 : OUT std_ulogic; - segment_50 : OUT std_ulogic; - segment_51 : OUT std_ulogic; - segment_52 : OUT std_ulogic; - segment_53 : OUT std_ulogic; - segment_54 : OUT std_ulogic; - segment_55 : OUT std_ulogic; - segment_56 : OUT std_ulogic; - segment_57 : OUT std_ulogic; - segment_58 : OUT std_ulogic; - segment_59 : OUT std_ulogic; - segment_60 : OUT std_ulogic; - segment_61 : OUT std_ulogic; - segment_62 : OUT std_ulogic; - segment_63 : OUT std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT m0s8scbcell - PORT ( - clock : IN std_ulogic; - interrupt : OUT std_ulogic; - rx : IN std_ulogic; - tx : OUT std_ulogic; - mosi_m : OUT std_ulogic; - miso_m : IN std_ulogic; - select_m_0 : OUT std_ulogic; - select_m_1 : OUT std_ulogic; - select_m_2 : OUT std_ulogic; - select_m_3 : OUT std_ulogic; - sclk_m : OUT std_ulogic; - mosi_s : IN std_ulogic; - miso_s : OUT std_ulogic; - select_s : IN std_ulogic; - sclk_s : IN std_ulogic; - scl : INOUT std_ulogic; - sda : INOUT std_ulogic); - END COMPONENT; - COMPONENT m0s8tcpwmcell - PORT ( - clock : IN std_ulogic; - capture : IN std_ulogic; - count : IN std_ulogic; - reload : IN std_ulogic; - stop : IN std_ulogic; - start : IN std_ulogic; - tr_underflow : OUT std_ulogic; - tr_overflow : OUT std_ulogic; - tr_compare_match : OUT std_ulogic; - line_out : OUT std_ulogic; - line_out_compl : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT m0s8tsscell - PORT ( - clk_seq : IN std_ulogic; - clk_adc : IN std_ulogic; - ext_reject : IN std_ulogic; - ext_sync : IN std_ulogic; - tx_sync : IN std_ulogic; - reject_in : IN std_ulogic; - start_in : IN std_ulogic; - lx_det_hi : OUT std_ulogic; - lx_det_lo : OUT std_ulogic; - rej_window : OUT std_ulogic; - tx_hilo : OUT std_ulogic; - phase_end : OUT std_ulogic; - phase_num_0 : OUT std_ulogic; - phase_num_1 : OUT std_ulogic; - phase_num_2 : OUT std_ulogic; - phase_num_3 : OUT std_ulogic; - ipq_reject : OUT std_ulogic; - ipq_start : OUT std_ulogic; - epq_reject : OUT std_ulogic; - epq_start : OUT std_ulogic; - mcs_reject : OUT std_ulogic; - mcs_start : OUT std_ulogic; - do_switch : OUT std_ulogic; - adc_start : OUT std_ulogic; - adc_done : OUT std_ulogic); - END COMPONENT; - COMPONENT macrocell - PORT ( - main_0 : IN std_ulogic; - main_1 : IN std_ulogic; - main_2 : IN std_ulogic; - main_3 : IN std_ulogic; - main_4 : IN std_ulogic; - main_5 : IN std_ulogic; - main_6 : IN std_ulogic; - main_7 : IN std_ulogic; - main_8 : IN std_ulogic; - main_9 : IN std_ulogic; - main_10 : IN std_ulogic; - main_11 : IN std_ulogic; - ar_0 : IN std_ulogic; - ap_0 : IN std_ulogic; - clock_0 : IN std_ulogic; - clk_en : IN std_ulogic; - cin : IN std_ulogic; - cpt0_0 : IN std_ulogic; - cpt0_1 : IN std_ulogic; - cpt0_2 : IN std_ulogic; - cpt0_3 : IN std_ulogic; - cpt0_4 : IN std_ulogic; - cpt0_5 : IN std_ulogic; - cpt0_6 : IN std_ulogic; - cpt0_7 : IN std_ulogic; - cpt0_8 : IN std_ulogic; - cpt0_9 : IN std_ulogic; - cpt0_10 : IN std_ulogic; - cpt0_11 : IN std_ulogic; - cpt1_0 : IN std_ulogic; - cpt1_1 : IN std_ulogic; - cpt1_2 : IN std_ulogic; - cpt1_3 : IN std_ulogic; - cpt1_4 : IN std_ulogic; - cpt1_5 : IN std_ulogic; - cpt1_6 : IN std_ulogic; - cpt1_7 : IN std_ulogic; - cpt1_8 : IN std_ulogic; - cpt1_9 : IN std_ulogic; - cpt1_10 : IN std_ulogic; - cpt1_11 : IN std_ulogic; - cout : OUT std_ulogic; - q : OUT std_ulogic; - q_fixed : OUT std_ulogic); - END COMPONENT; - COMPONENT p4abufcell - PORT ( - ctb_dsi_comp : OUT std_ulogic; - dsi_out : IN std_ulogic); - END COMPONENT; - COMPONENT p4csdcell - PORT ( - sense_out : OUT std_ulogic; - sample_out : OUT std_ulogic; - sense_in : IN std_ulogic; - sample_in : IN std_ulogic); - END COMPONENT; - COMPONENT p4csidaccell - PORT ( - en : IN std_ulogic); - END COMPONENT; - COMPONENT p4halfuabcell - PORT ( - clock : IN std_ulogic; - comp : OUT std_ulogic; - ctrl : IN std_ulogic); - END COMPONENT; - COMPONENT p4lpcompcell - PORT ( - cmpout : OUT std_ulogic; - irq : OUT std_ulogic); - END COMPONENT; - COMPONENT p4rsbcell - END COMPONENT; - COMPONENT p4sarcell - PORT ( - clock : IN std_ulogic; - pump_clock : IN std_ulogic; - sample_done : OUT std_ulogic; - chan_id_valid : OUT std_ulogic; - chan_id_0 : OUT std_ulogic; - chan_id_1 : OUT std_ulogic; - chan_id_2 : OUT std_ulogic; - chan_id_3 : OUT std_ulogic; - data_valid : OUT std_ulogic; - data_0 : OUT std_ulogic; - data_1 : OUT std_ulogic; - data_2 : OUT std_ulogic; - data_3 : OUT std_ulogic; - data_4 : OUT std_ulogic; - data_5 : OUT std_ulogic; - data_6 : OUT std_ulogic; - data_7 : OUT std_ulogic; - data_8 : OUT std_ulogic; - data_9 : OUT std_ulogic; - data_10 : OUT std_ulogic; - data_11 : OUT std_ulogic; - eos_intr : OUT std_ulogic; - sw_negvref : IN std_ulogic; - cfg_st_sel_0 : IN std_ulogic; - cfg_st_sel_1 : IN std_ulogic; - cfg_average : IN std_ulogic; - cfg_resolution : IN std_ulogic; - cfg_differential : IN std_ulogic; - trigger : IN std_ulogic; - data_hilo_sel : IN std_ulogic); - END COMPONENT; - COMPONENT p4tempcell - END COMPONENT; - COMPONENT p4vrefcell - END COMPONENT; - COMPONENT pmcell - PORT ( - ctw_int : OUT std_ulogic; - ftw_int : OUT std_ulogic; - limact_int : OUT std_ulogic; - onepps_int : OUT std_ulogic; - pm_int : OUT std_ulogic); - END COMPONENT; - COMPONENT sarcell - PORT ( - clock : IN std_ulogic; - pump_clock : IN std_ulogic; - clk_udb : IN std_ulogic; - sof_udb : IN std_ulogic; - vp_ctl_udb_0 : IN std_ulogic; - vp_ctl_udb_1 : IN std_ulogic; - vp_ctl_udb_2 : IN std_ulogic; - vp_ctl_udb_3 : IN std_ulogic; - vn_ctl_udb_0 : IN std_ulogic; - vn_ctl_udb_1 : IN std_ulogic; - vn_ctl_udb_2 : IN std_ulogic; - vn_ctl_udb_3 : IN std_ulogic; - data_out_udb_0 : OUT std_ulogic; - data_out_udb_1 : OUT std_ulogic; - data_out_udb_2 : OUT std_ulogic; - data_out_udb_3 : OUT std_ulogic; - data_out_udb_4 : OUT std_ulogic; - data_out_udb_5 : OUT std_ulogic; - data_out_udb_6 : OUT std_ulogic; - data_out_udb_7 : OUT std_ulogic; - data_out_udb_8 : OUT std_ulogic; - data_out_udb_9 : OUT std_ulogic; - data_out_udb_10 : OUT std_ulogic; - data_out_udb_11 : OUT std_ulogic; - eof_udb : OUT std_ulogic; - irq : OUT std_ulogic; - next : OUT std_ulogic); - END COMPONENT; - COMPONENT sccell - PORT ( - aclk : IN std_ulogic; - bst_clk : IN std_ulogic; - clk_udb : IN std_ulogic; - modout : OUT std_ulogic; - dyn_cntl_udb : IN std_ulogic); - END COMPONENT; - COMPONENT spccell - PORT ( - data_ready : OUT std_ulogic; - eeprom_fault_int : OUT std_ulogic; - idle : OUT std_ulogic); - END COMPONENT; - COMPONENT statuscell - PORT ( - status_0 : IN std_ulogic; - status_1 : IN std_ulogic; - status_2 : IN std_ulogic; - status_3 : IN std_ulogic; - status_4 : IN std_ulogic; - status_5 : IN std_ulogic; - status_6 : IN std_ulogic; - status_7 : IN std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - clk_en : IN std_ulogic); - END COMPONENT; - COMPONENT statusicell - PORT ( - status_0 : IN std_ulogic; - status_1 : IN std_ulogic; - status_2 : IN std_ulogic; - status_3 : IN std_ulogic; - status_4 : IN std_ulogic; - status_5 : IN std_ulogic; - status_6 : IN std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - interrupt : OUT std_ulogic; - clk_en : IN std_ulogic); - END COMPONENT; - COMPONENT synccell - PORT ( - in : IN std_ulogic; - clock : IN std_ulogic; - out : OUT std_ulogic; - clk_en : IN std_ulogic; - clock_n : IN std_ulogic; - extclk : IN std_ulogic; - extclk_n : IN std_ulogic); - END COMPONENT; - COMPONENT tfaultcell - PORT ( - tfault_dsi : OUT std_ulogic); - END COMPONENT; - COMPONENT timercell - PORT ( - clock : IN std_ulogic; - kill : IN std_ulogic; - enable : IN std_ulogic; - capture : IN std_ulogic; - timer_reset : IN std_ulogic; - tc : OUT std_ulogic; - cmp : OUT std_ulogic; - irq : OUT std_ulogic); - END COMPONENT; - COMPONENT udbclockencell - PORT ( - clock_in : IN std_ulogic; - enable : IN std_ulogic; - clock_out : OUT std_ulogic); - END COMPONENT; - COMPONENT usbcell - PORT ( - sof_int : OUT std_ulogic; - arb_int : OUT std_ulogic; - usb_int : OUT std_ulogic; - ord_int : OUT std_ulogic; - ept_int_0 : OUT std_ulogic; - ept_int_1 : OUT std_ulogic; - ept_int_2 : OUT std_ulogic; - ept_int_3 : OUT std_ulogic; - ept_int_4 : OUT std_ulogic; - ept_int_5 : OUT std_ulogic; - ept_int_6 : OUT std_ulogic; - ept_int_7 : OUT std_ulogic; - ept_int_8 : OUT std_ulogic; - dma_req_0 : OUT std_ulogic; - dma_req_1 : OUT std_ulogic; - dma_req_2 : OUT std_ulogic; - dma_req_3 : OUT std_ulogic; - dma_req_4 : OUT std_ulogic; - dma_req_5 : OUT std_ulogic; - dma_req_6 : OUT std_ulogic; - dma_req_7 : OUT std_ulogic; - dma_termin : OUT std_ulogic); - END COMPONENT; - COMPONENT vidaccell - PORT ( - data_0 : IN std_ulogic; - data_1 : IN std_ulogic; - data_2 : IN std_ulogic; - data_3 : IN std_ulogic; - data_4 : IN std_ulogic; - data_5 : IN std_ulogic; - data_6 : IN std_ulogic; - data_7 : IN std_ulogic; - strobe : IN std_ulogic; - strobe_udb : IN std_ulogic; - reset : IN std_ulogic; - idir : IN std_ulogic; - ioff : IN std_ulogic); - END COMPONENT; -BEGIN - - ClockBlock:clockblockcell - PORT MAP( - clk_bus_glb => ClockBlock_BUS_CLK, - clk_bus => ClockBlock_BUS_CLK_local, - clk_sync => ClockBlock_MASTER_CLK, - clk_32k_xtal => ClockBlock_XTAL_32KHZ, - xtal => ClockBlock_XTAL, - ilo => ClockBlock_ILO, - clk_100k => ClockBlock_100k, - clk_1k => ClockBlock_1k, - clk_32k => ClockBlock_32k, - pllout => ClockBlock_PLL_OUT, - imo => ClockBlock_IMO, - dsi_clkin_div => open, - dsi_glb_div => open, - dclk_glb_0 => \SPIM:Net_276\, - dclk_0 => \SPIM:Net_276_local\); - - Net_107:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * main_2) + (!main_0 * main_1 * !main_3) + (main_0 * !main_1 * !main_3)") - PORT MAP( - q => Net_107, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => Net_107); - - Net_30:macrocell - GENERIC MAP( - eqn_main => "(main_0 * !main_1 * main_3) + (main_0 * main_1 * !main_2 * !main_3) + (!main_1 * main_2 * !main_3 * main_4)") - PORT MAP( - q => Net_30, - clock_0 => \SPIM:Net_276\, - main_0 => Net_30, - main_1 => \SPIM:BSPIM:state_2\, - main_2 => \SPIM:BSPIM:state_1\, - main_3 => \SPIM:BSPIM:state_0\, - main_4 => \SPIM:BSPIM:mosi_from_dp\); - - Net_31:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * !main_2)") - PORT MAP( - q => Net_31, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - \LCD:LCDPort(0)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(0)_PAD\); - - \LCD:LCDPort(1)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 1, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(1)_PAD\); - - \LCD:LCDPort(2)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 2, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(2)_PAD\); - - \LCD:LCDPort(3)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 3, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(3)_PAD\); - - \LCD:LCDPort(4)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 4, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(4)_PAD\); - - \LCD:LCDPort(5)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 5, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(5)_PAD\); - - \LCD:LCDPort(6)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 6, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(6)_PAD\); - - \LCD:LCDPort\:logicalport - GENERIC MAP( - drive_mode => "110110110110110110110", - ibuf_enabled => "1111111", - id => "923198f5-05eb-4681-ae86-b3593c234480/ed092b9b-d398-4703-be89-cebf998501f6", - init_dr_st => "0000000", - input_sync => "1111111", - intr_mode => "00000000000000", - io_voltage => ", , , , , , ", - layout_mode => "CONTIGUOUS", - oe_conn => "0000000", - output_conn => "0000000", - output_sync => "0000000", - pin_aliases => ",,,,,,", - pin_mode => "OOOOOOO", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0000000", - sio_ibuf => "00000000", - sio_info => "00000000000000", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0000000", - spanning => 0, - sw_only => 0, - use_annotation => "0000000", - vtrip => "10101010101010", - width => 7); - - \SPIM:BSPIM:BitCounter\:count7cell - GENERIC MAP( - cy_alt_mode => 0, - cy_init_value => "0000000", - cy_period => "0001111", - cy_route_en => 1, - cy_route_ld => 0) - PORT MAP( - clock => \SPIM:Net_276\, - load => open, - enable => \SPIM:BSPIM:cnt_enable\, - count_6 => \SPIM:BSPIM:count_6\, - count_5 => \SPIM:BSPIM:count_5\, - count_4 => \SPIM:BSPIM:count_4\, - count_3 => \SPIM:BSPIM:count_3\, - count_2 => \SPIM:BSPIM:count_2\, - count_1 => \SPIM:BSPIM:count_1\, - count_0 => \SPIM:BSPIM:count_0\, - tc => \SPIM:BSPIM:cnt_tc\); - - \SPIM:BSPIM:RxStsReg\:statusicell - GENERIC MAP( - cy_force_order => 1, - cy_int_mask => "0000000", - cy_md_select => "1000000") - PORT MAP( - clock => \SPIM:Net_276\, - status_6 => \SPIM:BSPIM:rx_status_6\, - status_5 => \SPIM:BSPIM:rx_status_5\, - status_4 => \SPIM:BSPIM:rx_status_4\, - status_3 => open, - status_2 => open, - status_1 => open, - status_0 => open); - - \SPIM:BSPIM:TxStsReg\:statusicell - GENERIC MAP( - cy_force_order => 1, - cy_int_mask => "0000000", - cy_md_select => "0001001") - PORT MAP( - clock => \SPIM:Net_276\, - status_6 => open, - status_5 => open, - status_4 => \SPIM:BSPIM:tx_status_4\, - status_3 => \SPIM:BSPIM:load_rx_data\, - status_2 => \SPIM:BSPIM:tx_status_2\, - status_1 => \SPIM:BSPIM:tx_status_1\, - status_0 => \SPIM:BSPIM:tx_status_0\); - - \SPIM:BSPIM:cnt_enable\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * main_8) + (!main_0 * !main_1 * main_2 * !main_8) + (main_0 * main_1 * main_8) + (main_0 * main_2 * main_8) + (main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7 * main_8)") - PORT MAP( - q => \SPIM:BSPIM:cnt_enable\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:cnt_enable\); - - \SPIM:BSPIM:load_cond\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8) + (main_0 * !main_1 * !main_2 * !main_8) + (main_1 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8) + (main_2 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8)") - PORT MAP( - q => \SPIM:BSPIM:load_cond\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:load_cond\); - - \SPIM:BSPIM:load_rx_data\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * !main_3 * main_4)") - PORT MAP( - q => \SPIM:BSPIM:load_rx_data\, - main_0 => \SPIM:BSPIM:count_4\, - main_1 => \SPIM:BSPIM:count_3\, - main_2 => \SPIM:BSPIM:count_2\, - main_3 => \SPIM:BSPIM:count_1\, - main_4 => \SPIM:BSPIM:count_0\); - - \SPIM:BSPIM:rx_status_6\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)") - PORT MAP( - q => \SPIM:BSPIM:rx_status_6\, - main_0 => \SPIM:BSPIM:count_4\, - main_1 => \SPIM:BSPIM:count_3\, - main_2 => \SPIM:BSPIM:count_2\, - main_3 => \SPIM:BSPIM:count_1\, - main_4 => \SPIM:BSPIM:count_0\, - main_5 => \SPIM:BSPIM:rx_status_4\); - - \SPIM:BSPIM:sR8:Dp:u0\:datapathcell - GENERIC MAP( - a0_init => "00000000", - a1_init => "00000000", - ce0_sync => 1, - ce1_sync => 1, - cl0_sync => 1, - cl1_sync => 1, - cmsb_sync => 1, - co_msb_sync => 1, - cy_dpconfig => "0000000000000000000000001100000000000001010000000001000100010000000000011100000000010001000000000000000101000000000000010100000011111111000000001111111111111111000000000010001000001100111100000000000000000100", - d0_init => "00000000", - d1_init => "00000000", - f0_blk_sync => 1, - f0_bus_sync => 1, - f1_blk_sync => 1, - f1_bus_sync => 1, - ff0_sync => 1, - ff1_sync => 1, - ov_msb_sync => 1, - so_sync => 1, - z0_sync => 1, - z1_sync => 1, - uses_p_in => '0', - uses_p_out => '0') - PORT MAP( - clock => \SPIM:Net_276\, - cs_addr_2 => \SPIM:BSPIM:state_2\, - cs_addr_1 => \SPIM:BSPIM:state_1\, - cs_addr_0 => \SPIM:BSPIM:state_0\, - route_si => Net_20, - f1_load => \SPIM:BSPIM:load_rx_data\, - so_comb => \SPIM:BSPIM:mosi_from_dp\, - f0_bus_stat_comb => \SPIM:BSPIM:tx_status_2\, - f0_blk_stat_comb => \SPIM:BSPIM:tx_status_1\, - f1_bus_stat_comb => \SPIM:BSPIM:rx_status_5\, - f1_blk_stat_comb => \SPIM:BSPIM:rx_status_4\, - busclk => ClockBlock_BUS_CLK); - - \SPIM:BSPIM:state_0\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7) + (main_0 * !main_2) + (!main_1 * !main_2 * main_8) + (main_1 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8)") - PORT MAP( - q => \SPIM:BSPIM:state_0\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:state_1\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2) + (!main_0 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8) + (main_0 * main_1) + (main_0 * main_2) + (main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7)") - PORT MAP( - q => \SPIM:BSPIM:state_1\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:state_2\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8) + (!main_0 * main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7)") - PORT MAP( - q => \SPIM:BSPIM:state_2\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:tx_status_0\:macrocell - GENERIC MAP( - eqn_main => "(main_0 * !main_1 * main_2)") - PORT MAP( - q => \SPIM:BSPIM:tx_status_0\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - \SPIM:BSPIM:tx_status_4\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2)") - PORT MAP( - q => \SPIM:BSPIM:tx_status_4\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - m_miso_pin:logicalport - GENERIC MAP( - drive_mode => "001", - ibuf_enabled => "1", - id => "1425177d-0d0e-4468-8bcc-e638e5509a9b", - init_dr_st => "0", - input_sync => "0", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "0", - output_sync => "0", - pin_aliases => "", - pin_mode => "I", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "00", - width => 1); - - m_miso_pin(0):iocell - GENERIC MAP( - logicalport => "m_miso_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - fb => Net_20, - pad_in => m_miso_pin(0)_PAD); - - m_mosi_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "ed092b9b-d398-4703-be89-cebf998501f6", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_mosi_pin(0):iocell - GENERIC MAP( - logicalport => "m_mosi_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_30, - pad_out => m_mosi_pin(0)_PAD, - pad_in => m_mosi_pin(0)_PAD); - - m_sclk_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "640f8e70-5666-4015-9ac8-6ed7f71d8e01", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_sclk_pin(0):iocell - GENERIC MAP( - logicalport => "m_sclk_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_31, - pad_out => m_sclk_pin(0)_PAD, - pad_in => m_sclk_pin(0)_PAD); - - m_ss_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "5ec2583b-d6a1-4a86-ac3e-b170e6f000fd", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_ss_pin(0):iocell - GENERIC MAP( - logicalport => "m_ss_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_107, - pad_out => m_ss_pin(0)_PAD, - pad_in => m_ss_pin(0)_PAD); - -END __DEFAULT__; diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.lib b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.lib deleted file mode 100644 index 5402b07..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.lib +++ /dev/null @@ -1,2337 +0,0 @@ -library (timing) { - timescale : 1ns; - capacitive_load_unit (1,ff); - include_file(device.lib); - cell (macrocell1) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell2) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell3) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (iocell1) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.231; - intrinsic_fall : 15.231; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.231; - intrinsic_fall : 15.231; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.499; - intrinsic_fall : 17.499; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 18.989; - intrinsic_fall : 18.989; - } - } - } - cell (iocell2) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.254; - intrinsic_fall : 15.254; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.254; - intrinsic_fall : 15.254; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 16.253; - intrinsic_fall : 16.253; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 16.490; - intrinsic_fall : 16.490; - } - } - } - cell (iocell3) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.219; - intrinsic_fall : 16.219; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.219; - intrinsic_fall : 16.219; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 21.315; - intrinsic_fall : 21.315; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 17.470; - intrinsic_fall : 17.470; - } - } - } - cell (iocell4) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.844; - intrinsic_fall : 16.844; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.844; - intrinsic_fall : 16.844; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.182; - intrinsic_fall : 17.182; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 24.720; - intrinsic_fall : 24.720; - } - } - } - cell (iocell5) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.776; - intrinsic_fall : 15.776; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.776; - intrinsic_fall : 15.776; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 20.119; - intrinsic_fall : 20.119; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 23.475; - intrinsic_fall : 23.475; - } - } - } - cell (iocell6) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.458; - intrinsic_fall : 16.458; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.458; - intrinsic_fall : 16.458; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 16.998; - intrinsic_fall : 16.998; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.553; - intrinsic_fall : 25.553; - } - } - } - cell (iocell7) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.998; - intrinsic_fall : 15.998; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.998; - intrinsic_fall : 15.998; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 19.701; - intrinsic_fall : 19.701; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 23.795; - intrinsic_fall : 23.795; - } - } - } - cell (statusicell1) { - pin (clock) { - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - pin (reset) { - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - } - pin (status_0) { - direction : input; - } - pin (status_1) { - direction : input; - } - pin (status_2) { - direction : input; - } - pin (status_3) { - direction : input; - } - pin (status_4) { - direction : input; - } - pin (status_5) { - direction : input; - } - pin (status_6) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (interrupt) { - direction : output; - } - } - cell (statusicell2) { - pin (clock) { - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - pin (reset) { - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock"; - intrinsic_fall : 0.000; - } - } - pin (status_0) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (status_1) { - direction : input; - } - pin (status_2) { - direction : input; - } - pin (status_3) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.570; - intrinsic_fall : 1.570; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 2.000; - intrinsic_fall : 2.000; - } - } - pin (status_4) { - direction : input; - } - pin (status_5) { - direction : input; - } - pin (status_6) { - direction : input; - } - pin (interrupt) { - direction : output; - } - } - cell (macrocell4) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell5) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell6) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (macrocell7) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (datapathcell1) { - pin (clk_en) { - direction : input; - } - pin (reset) { - direction : input; - } - pin (cs_addr_0) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (cs_addr_1) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (cs_addr_2) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.29; - intrinsic_fall : 6.29; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.30; - intrinsic_fall : 6.30; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.17; - intrinsic_fall : 7.17; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (route_si) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.77; - intrinsic_fall : 6.77; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 6.78; - intrinsic_fall : 6.78; - } - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 7.65; - intrinsic_fall : 7.65; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (route_ci) { - direction : input; - } - pin (f0_load) { - direction : input; - } - pin (f1_load) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock"; - intrinsic_rise : 1.85; - intrinsic_fall : 1.85; - } - timing () { - timing_type : hold_rising; - related_pin : "clock"; - intrinsic_rise : 0.00; - intrinsic_fall : 0.00; - } - } - pin (d0_load) { - direction : input; - } - pin (d1_load) { - direction : input; - } - pin (p_in_0) { - direction : input; - } - pin (p_in_1) { - direction : input; - } - pin (p_in_2) { - direction : input; - } - pin (p_in_3) { - direction : input; - } - pin (p_in_4) { - direction : input; - } - pin (p_in_5) { - direction : input; - } - pin (p_in_6) { - direction : input; - } - pin (p_in_7) { - direction : input; - } - pin (ce0i) { - direction : input; - } - pin (cl0i) { - direction : input; - } - pin (z0i) { - direction : input; - } - pin (ff0i) { - direction : input; - } - pin (ce1i) { - direction : input; - } - pin (cl1i) { - direction : input; - } - pin (z1i) { - direction : input; - } - pin (ff1i) { - direction : input; - } - pin (cap0i) { - direction : input; - } - pin (cap1i) { - direction : input; - } - pin (ci) { - direction : input; - } - pin (sir) { - direction : input; - } - pin (cfbi) { - direction : input; - } - pin (sil) { - direction : input; - } - pin (cmsbi) { - direction : input; - } - pin (busclk) { - direction : input; - clock : true; - } - pin (clock) { - direction : input; - clock : true; - } - pin (ce0_reg) { - direction : output; - } - pin (cl0_reg) { - direction : output; - } - pin (z0_reg) { - direction : output; - } - pin (f0_reg) { - direction : output; - } - pin (ce1_reg) { - direction : output; - } - pin (cl1_reg) { - direction : output; - } - pin (z1_reg) { - direction : output; - } - pin (f1_reg) { - direction : output; - } - pin (ov_msb_reg) { - direction : output; - } - pin (co_msb_reg) { - direction : output; - } - pin (cmsb_reg) { - direction : output; - } - pin (so_reg) { - direction : output; - } - pin (f0_bus_stat_reg) { - direction : output; - } - pin (f0_blk_stat_reg) { - direction : output; - } - pin (f1_bus_stat_reg) { - direction : output; - } - pin (f1_blk_stat_reg) { - direction : output; - } - pin (ce0_comb) { - direction : output; - } - pin (cl0_comb) { - direction : output; - } - pin (z0_comb) { - direction : output; - } - pin (f0_comb) { - direction : output; - } - pin (ce1_comb) { - direction : output; - } - pin (cl1_comb) { - direction : output; - } - pin (z1_comb) { - direction : output; - } - pin (f1_comb) { - direction : output; - } - pin (ov_msb_comb) { - direction : output; - } - pin (co_msb_comb) { - direction : output; - } - pin (cmsb_comb) { - direction : output; - } - pin (so_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 8.16; - intrinsic_fall : 8.16; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 8.30; - intrinsic_fall : 8.30; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.78; - intrinsic_fall : 5.78; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 6.12; - intrinsic_fall : 6.12; - } - } - pin (f0_bus_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - } - pin (f0_blk_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - } - pin (f1_bus_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - timing () { - timing_type : rising_edge; - related_pin : "busclk"; - intrinsic_rise : 7.21; - intrinsic_fall : 7.21; - } - } - pin (f1_blk_stat_comb) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - timing () { - timing_type : rising_edge; - related_pin : "clock"; - intrinsic_rise : 5.28; - intrinsic_fall : 5.28; - } - } - pin (p_out_0) { - direction : output; - } - pin (p_out_1) { - direction : output; - } - pin (p_out_2) { - direction : output; - } - pin (p_out_3) { - direction : output; - } - pin (p_out_4) { - direction : output; - } - pin (p_out_5) { - direction : output; - } - pin (p_out_6) { - direction : output; - } - pin (p_out_7) { - direction : output; - } - pin (ce0) { - direction : output; - } - pin (cl0) { - direction : output; - } - pin (z0) { - direction : output; - } - pin (ff0) { - direction : output; - } - pin (ce1) { - direction : output; - } - pin (cl1) { - direction : output; - } - pin (z1) { - direction : output; - } - pin (ff1) { - direction : output; - } - pin (cap0) { - direction : output; - } - pin (cap1) { - direction : output; - } - pin (co_msb) { - direction : output; - } - pin (sol_msb) { - direction : output; - } - pin (cfbo) { - direction : output; - } - pin (sor) { - direction : output; - } - pin (cmsbo) { - direction : output; - } - } - cell (macrocell8) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell9) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell10) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 3.510; - intrinsic_fall : 3.510; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - pin (q_fixed) { - direction : output; - timing () { - timing_type : rising_edge; - related_pin : "clock_0"; - intrinsic_rise : 1.250; - intrinsic_fall : 1.250; - } - } - } - cell (macrocell11) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((main_0 * !main_1 * main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((main_0 * !main_1 * main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (macrocell12) { - pin (cin) { - direction : input; - } - bundle (cpt0) { - members (cpt0_0, cpt0_1, cpt0_2, cpt0_3, cpt0_4, cpt0_5, cpt0_6, cpt0_7, cpt0_8, cpt0_9, cpt0_10, cpt0_11); - direction : input; - } - bundle (cpt1) { - members (cpt1_0, cpt1_1, cpt1_2, cpt1_3, cpt1_4, cpt1_5, cpt1_6, cpt1_7, cpt1_8, cpt1_9, cpt1_10, cpt1_11); - direction : input; - } - bundle (main) { - members (main_0, main_1, main_2, main_3, main_4, main_5, main_6, main_7, main_8, main_9, main_10, main_11); - direction : input; - } - bundle (clock) { - members (clock_0); - direction : input; - clock : true; - } - pin (clk_en) { - direction : input; - timing () { - timing_type : setup_rising; - related_pin : "clock_0"; - intrinsic_rise : 2.100; - intrinsic_fall : 2.100; - } - timing () { - timing_type : hold_rising; - related_pin : "clock_0"; - intrinsic_rise : 0.000; - intrinsic_fall : 0.000; - } - } - bundle (ar) { - members (ar_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - bundle (ap) { - members (ap_0); - direction : input; - timing () { - timing_type : recovery_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - timing () { - timing_type : removal_rising; - related_pin : "clock_0"; - intrinsic_fall : 0.000; - } - } - pin (cout) { - direction : output; - } - pin (q) { - direction : output; - function : "((!main_0 * !main_1 * !main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - pin (q_fixed) { - direction : output; - function : "((!main_0 * !main_1 * !main_2)) ^ 0"; - timing () { - timing_type : combinational; - related_pin : "main_0 main_1 main_2 main_3 main_4 main_5 main_6 main_7 main_8 main_9 main_10 main_11"; - intrinsic_rise : 3.350; - intrinsic_fall : 3.350; - } - } - } - cell (iocell8) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 16.689; - intrinsic_fall : 16.689; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 16.689; - intrinsic_fall : 16.689; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 19.103; - intrinsic_fall : 19.103; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 26.840; - intrinsic_fall : 26.840; - } - } - } - cell (iocell9) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 17.119; - intrinsic_fall : 17.119; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 17.119; - intrinsic_fall : 17.119; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.971; - intrinsic_fall : 17.971; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.711; - intrinsic_fall : 25.711; - } - } - } - cell (iocell10) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.630; - intrinsic_fall : 15.630; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.630; - intrinsic_fall : 15.630; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 17.982; - intrinsic_fall : 17.982; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.772; - intrinsic_fall : 25.772; - } - } - } - cell (iocell11) { - pin (clock) { - direction : input; - clock : true; - } - pin (pin_input) { - direction : input; - } - pin (oe) { - direction : input; - } - pin (pad_in) { - direction : input; - } - pin (pad_out) { - direction : output; - timing () { - timing_sense : negative_unate; - timing_type : three_state_disable; - related_pin : "oe"; - intrinsic_rise : 15.393; - intrinsic_fall : 15.393; - } - timing () { - timing_sense : positive_unate; - timing_type : three_state_enable; - related_pin : "oe"; - intrinsic_rise : 15.393; - intrinsic_fall : 15.393; - } - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pin_input"; - intrinsic_rise : 18.672; - intrinsic_fall : 18.672; - } - } - pin (fb) { - direction : output; - timing () { - timing_sense : positive_unate; - timing_type : combinational; - related_pin : "pad_in"; - intrinsic_rise : 25.214; - intrinsic_fall : 25.214; - } - } - } -} diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.vh2 b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.vh2 deleted file mode 100644 index 20f155b..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_t.vh2 +++ /dev/null @@ -1,1479 +0,0 @@ --- Project: C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj --- Generated: 01/16/2013 14:35:49 --- - -ENTITY SPI_Design01 IS - PORT( - \LCD:LCDPort(0)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(1)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(2)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(3)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(4)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(5)_PAD\ : OUT std_ulogic; - \LCD:LCDPort(6)_PAD\ : OUT std_ulogic; - m_miso_pin(0)_PAD : IN std_ulogic; - m_mosi_pin(0)_PAD : OUT std_ulogic; - m_sclk_pin(0)_PAD : OUT std_ulogic; - m_ss_pin(0)_PAD : OUT std_ulogic); - ATTRIBUTE voltage_Vio1 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vusb OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vddd OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio3 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vdda OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio0 OF __DEFAULT__ : ENTITY IS 3.3e0; - ATTRIBUTE voltage_Vio2 OF __DEFAULT__ : ENTITY IS 3.3e0; -END SPI_Design01; - -ARCHITECTURE __DEFAULT__ OF SPI_Design01 IS - SIGNAL ClockBlock_100k : bit; - SIGNAL ClockBlock_1k : bit; - SIGNAL ClockBlock_32k : bit; - SIGNAL ClockBlock_BUS_CLK : bit; - ATTRIBUTE global_signal OF ClockBlock_BUS_CLK : SIGNAL IS true; - SIGNAL ClockBlock_BUS_CLK_local : bit; - SIGNAL ClockBlock_ILO : bit; - SIGNAL ClockBlock_IMO : bit; - SIGNAL ClockBlock_MASTER_CLK : bit; - SIGNAL ClockBlock_PLL_OUT : bit; - SIGNAL ClockBlock_XTAL : bit; - SIGNAL ClockBlock_XTAL_32KHZ : bit; - SIGNAL Net_107 : bit; - ATTRIBUTE placement_force OF Net_107 : SIGNAL IS "U(0,5,B)1"; - SIGNAL Net_20 : bit; - SIGNAL Net_30 : bit; - ATTRIBUTE placement_force OF Net_30 : SIGNAL IS "U(0,4,B)1"; - SIGNAL Net_31 : bit; - ATTRIBUTE placement_force OF Net_31 : SIGNAL IS "U(0,5,A)3"; - SIGNAL \SPIM:BSPIM:cnt_enable\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:cnt_enable\ : SIGNAL IS "U(0,4,A)0"; - SIGNAL \SPIM:BSPIM:cnt_tc\ : bit; - SIGNAL \SPIM:BSPIM:count_0\ : bit; - SIGNAL \SPIM:BSPIM:count_1\ : bit; - SIGNAL \SPIM:BSPIM:count_2\ : bit; - SIGNAL \SPIM:BSPIM:count_3\ : bit; - SIGNAL \SPIM:BSPIM:count_4\ : bit; - SIGNAL \SPIM:BSPIM:count_5\ : bit; - SIGNAL \SPIM:BSPIM:count_6\ : bit; - SIGNAL \SPIM:BSPIM:load_cond\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:load_cond\ : SIGNAL IS "U(0,4,B)0"; - SIGNAL \SPIM:BSPIM:load_rx_data\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:load_rx_data\ : SIGNAL IS "U(0,4,A)1"; - SIGNAL \SPIM:BSPIM:mosi_from_dp\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_4\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_5\ : bit; - SIGNAL \SPIM:BSPIM:rx_status_6\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:rx_status_6\ : SIGNAL IS "U(0,4,A)2"; - SIGNAL \SPIM:BSPIM:state_0\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:state_0\ : SIGNAL IS "U(0,5,A)2"; - SIGNAL \SPIM:BSPIM:state_1\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:state_1\ : SIGNAL IS "U(0,5,B)0"; - SIGNAL \SPIM:BSPIM:state_2\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:state_2\ : SIGNAL IS "U(0,5,A)0"; - SIGNAL \SPIM:BSPIM:tx_status_0\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:tx_status_0\ : SIGNAL IS "U(0,5,A)1"; - SIGNAL \SPIM:BSPIM:tx_status_1\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_2\ : bit; - SIGNAL \SPIM:BSPIM:tx_status_4\ : bit; - ATTRIBUTE placement_force OF \SPIM:BSPIM:tx_status_4\ : SIGNAL IS "U(0,5,B)2"; - SIGNAL \SPIM:Net_276\ : bit; - ATTRIBUTE global_signal OF \SPIM:Net_276\ : SIGNAL IS true; - SIGNAL \SPIM:Net_276_local\ : bit; - SIGNAL __ONE__ : bit; - ATTRIBUTE POWER OF __ONE__ : SIGNAL IS true; - SIGNAL __ZERO__ : bit; - ATTRIBUTE GROUND OF __ZERO__ : SIGNAL IS true; - SIGNAL tmpOE__m_miso_pin_net_0 : bit; - ATTRIBUTE POWER OF tmpOE__m_miso_pin_net_0 : SIGNAL IS true; - SIGNAL zero : bit; - ATTRIBUTE GROUND OF zero : SIGNAL IS true; - ATTRIBUTE Location OF ClockBlock : LABEL IS "F(Clock,0)"; - ATTRIBUTE lib_model OF Net_107 : LABEL IS "macrocell1"; - ATTRIBUTE Location OF Net_107 : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF Net_30 : LABEL IS "macrocell2"; - ATTRIBUTE Location OF Net_30 : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF Net_31 : LABEL IS "macrocell3"; - ATTRIBUTE Location OF Net_31 : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \LCD:LCDPort(0)\ : LABEL IS "iocell1"; - ATTRIBUTE Location OF \LCD:LCDPort(0)\ : LABEL IS "P2[0]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(1)\ : LABEL IS "iocell2"; - ATTRIBUTE Location OF \LCD:LCDPort(1)\ : LABEL IS "P2[1]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(2)\ : LABEL IS "iocell3"; - ATTRIBUTE Location OF \LCD:LCDPort(2)\ : LABEL IS "P2[2]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(3)\ : LABEL IS "iocell4"; - ATTRIBUTE Location OF \LCD:LCDPort(3)\ : LABEL IS "P2[3]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(4)\ : LABEL IS "iocell5"; - ATTRIBUTE Location OF \LCD:LCDPort(4)\ : LABEL IS "P2[4]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(5)\ : LABEL IS "iocell6"; - ATTRIBUTE Location OF \LCD:LCDPort(5)\ : LABEL IS "P2[5]"; - ATTRIBUTE lib_model OF \LCD:LCDPort(6)\ : LABEL IS "iocell7"; - ATTRIBUTE Location OF \LCD:LCDPort(6)\ : LABEL IS "P2[6]"; - ATTRIBUTE Location OF \SPIM:BSPIM:BitCounter\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:RxStsReg\ : LABEL IS "statusicell1"; - ATTRIBUTE Location OF \SPIM:BSPIM:RxStsReg\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:TxStsReg\ : LABEL IS "statusicell2"; - ATTRIBUTE Location OF \SPIM:BSPIM:TxStsReg\ : LABEL IS "U(1,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:cnt_enable\ : LABEL IS "macrocell4"; - ATTRIBUTE Location OF \SPIM:BSPIM:cnt_enable\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:load_cond\ : LABEL IS "macrocell5"; - ATTRIBUTE Location OF \SPIM:BSPIM:load_cond\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:load_rx_data\ : LABEL IS "macrocell6"; - ATTRIBUTE Location OF \SPIM:BSPIM:load_rx_data\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:rx_status_6\ : LABEL IS "macrocell7"; - ATTRIBUTE Location OF \SPIM:BSPIM:rx_status_6\ : LABEL IS "U(0,4)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:sR8:Dp:u0\ : LABEL IS "datapathcell1"; - ATTRIBUTE Location OF \SPIM:BSPIM:sR8:Dp:u0\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_0\ : LABEL IS "macrocell8"; - ATTRIBUTE Location OF \SPIM:BSPIM:state_0\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_1\ : LABEL IS "macrocell9"; - ATTRIBUTE Location OF \SPIM:BSPIM:state_1\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:state_2\ : LABEL IS "macrocell10"; - ATTRIBUTE Location OF \SPIM:BSPIM:state_2\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:tx_status_0\ : LABEL IS "macrocell11"; - ATTRIBUTE Location OF \SPIM:BSPIM:tx_status_0\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF \SPIM:BSPIM:tx_status_4\ : LABEL IS "macrocell12"; - ATTRIBUTE Location OF \SPIM:BSPIM:tx_status_4\ : LABEL IS "U(0,5)"; - ATTRIBUTE lib_model OF m_miso_pin(0) : LABEL IS "iocell8"; - ATTRIBUTE Location OF m_miso_pin(0) : LABEL IS "P0[0]"; - ATTRIBUTE lib_model OF m_mosi_pin(0) : LABEL IS "iocell9"; - ATTRIBUTE Location OF m_mosi_pin(0) : LABEL IS "P0[5]"; - ATTRIBUTE lib_model OF m_sclk_pin(0) : LABEL IS "iocell10"; - ATTRIBUTE Location OF m_sclk_pin(0) : LABEL IS "P0[6]"; - ATTRIBUTE lib_model OF m_ss_pin(0) : LABEL IS "iocell11"; - ATTRIBUTE Location OF m_ss_pin(0) : LABEL IS "P0[7]"; - COMPONENT abufcell - END COMPONENT; - COMPONENT boostcell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT cachecell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT cancell - PORT ( - clock : IN std_ulogic; - can_rx : IN std_ulogic; - can_tx : OUT std_ulogic; - can_tx_en : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT capsensecell - PORT ( - lft : IN std_ulogic; - rt : IN std_ulogic); - END COMPONENT; - COMPONENT clockblockcell - PORT ( - dclk_0 : OUT std_ulogic; - dclk_1 : OUT std_ulogic; - dclk_2 : OUT std_ulogic; - dclk_3 : OUT std_ulogic; - dclk_4 : OUT std_ulogic; - dclk_5 : OUT std_ulogic; - dclk_6 : OUT std_ulogic; - dclk_7 : OUT std_ulogic; - dclk_glb_0 : OUT std_ulogic; - dclk_glb_1 : OUT std_ulogic; - dclk_glb_2 : OUT std_ulogic; - dclk_glb_3 : OUT std_ulogic; - dclk_glb_4 : OUT std_ulogic; - dclk_glb_5 : OUT std_ulogic; - dclk_glb_6 : OUT std_ulogic; - dclk_glb_7 : OUT std_ulogic; - aclk_0 : OUT std_ulogic; - aclk_1 : OUT std_ulogic; - aclk_2 : OUT std_ulogic; - aclk_3 : OUT std_ulogic; - aclk_glb_0 : OUT std_ulogic; - aclk_glb_1 : OUT std_ulogic; - aclk_glb_2 : OUT std_ulogic; - aclk_glb_3 : OUT std_ulogic; - clk_a_dig_0 : OUT std_ulogic; - clk_a_dig_1 : OUT std_ulogic; - clk_a_dig_2 : OUT std_ulogic; - clk_a_dig_3 : OUT std_ulogic; - clk_a_dig_glb_0 : OUT std_ulogic; - clk_a_dig_glb_1 : OUT std_ulogic; - clk_a_dig_glb_2 : OUT std_ulogic; - clk_a_dig_glb_3 : OUT std_ulogic; - clk_bus : OUT std_ulogic; - clk_bus_glb : OUT std_ulogic; - clk_sync : OUT std_ulogic; - clk_32k_xtal : OUT std_ulogic; - clk_100k : OUT std_ulogic; - clk_32k : OUT std_ulogic; - clk_1k : OUT std_ulogic; - clk_usb : OUT std_ulogic; - xmhz_xerr : OUT std_ulogic; - pll_lock_out : OUT std_ulogic; - dsi_dig_div_0 : IN std_ulogic; - dsi_dig_div_1 : IN std_ulogic; - dsi_dig_div_2 : IN std_ulogic; - dsi_dig_div_3 : IN std_ulogic; - dsi_dig_div_4 : IN std_ulogic; - dsi_dig_div_5 : IN std_ulogic; - dsi_dig_div_6 : IN std_ulogic; - dsi_dig_div_7 : IN std_ulogic; - dsi_ana_div_0 : IN std_ulogic; - dsi_ana_div_1 : IN std_ulogic; - dsi_ana_div_2 : IN std_ulogic; - dsi_ana_div_3 : IN std_ulogic; - dsi_glb_div : IN std_ulogic; - dsi_clkin_div : IN std_ulogic; - imo : OUT std_ulogic; - ilo : OUT std_ulogic; - xtal : OUT std_ulogic; - pllout : OUT std_ulogic); - END COMPONENT; - COMPONENT comparatorcell - PORT ( - out : OUT std_ulogic; - clk_udb : IN std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT controlcell - PORT ( - control_0 : OUT std_ulogic; - control_1 : OUT std_ulogic; - control_2 : OUT std_ulogic; - control_3 : OUT std_ulogic; - control_4 : OUT std_ulogic; - control_5 : OUT std_ulogic; - control_6 : OUT std_ulogic; - control_7 : OUT std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - clk_en : IN std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT count7cell - PORT ( - clock : IN std_ulogic; - reset : IN std_ulogic; - load : IN std_ulogic; - enable : IN std_ulogic; - clk_en : IN std_ulogic; - count_0 : OUT std_ulogic; - count_1 : OUT std_ulogic; - count_2 : OUT std_ulogic; - count_3 : OUT std_ulogic; - count_4 : OUT std_ulogic; - count_5 : OUT std_ulogic; - count_6 : OUT std_ulogic; - tc : OUT std_ulogic; - clock_n : IN std_ulogic; - extclk : IN std_ulogic; - extclk_n : IN std_ulogic); - END COMPONENT; - COMPONENT csabufcell - PORT ( - swon : IN std_ulogic); - END COMPONENT; - COMPONENT datapathcell - PORT ( - clock : IN std_ulogic; - clk_en : IN std_ulogic; - reset : IN std_ulogic; - cs_addr_0 : IN std_ulogic; - cs_addr_1 : IN std_ulogic; - cs_addr_2 : IN std_ulogic; - route_si : IN std_ulogic; - route_ci : IN std_ulogic; - f0_load : IN std_ulogic; - f1_load : IN std_ulogic; - d0_load : IN std_ulogic; - d1_load : IN std_ulogic; - ce0_reg : OUT std_ulogic; - cl0_reg : OUT std_ulogic; - z0_reg : OUT std_ulogic; - f0_reg : OUT std_ulogic; - ce1_reg : OUT std_ulogic; - cl1_reg : OUT std_ulogic; - z1_reg : OUT std_ulogic; - f1_reg : OUT std_ulogic; - ov_msb_reg : OUT std_ulogic; - co_msb_reg : OUT std_ulogic; - cmsb_reg : OUT std_ulogic; - so_reg : OUT std_ulogic; - f0_bus_stat_reg : OUT std_ulogic; - f0_blk_stat_reg : OUT std_ulogic; - f1_bus_stat_reg : OUT std_ulogic; - f1_blk_stat_reg : OUT std_ulogic; - ce0_comb : OUT std_ulogic; - cl0_comb : OUT std_ulogic; - z0_comb : OUT std_ulogic; - f0_comb : OUT std_ulogic; - ce1_comb : OUT std_ulogic; - cl1_comb : OUT std_ulogic; - z1_comb : OUT std_ulogic; - f1_comb : OUT std_ulogic; - ov_msb_comb : OUT std_ulogic; - co_msb_comb : OUT std_ulogic; - cmsb_comb : OUT std_ulogic; - so_comb : OUT std_ulogic; - f0_bus_stat_comb : OUT std_ulogic; - f0_blk_stat_comb : OUT std_ulogic; - f1_bus_stat_comb : OUT std_ulogic; - f1_blk_stat_comb : OUT std_ulogic; - ce0 : OUT std_ulogic; - ce0i : IN std_ulogic; - p_in_0 : IN std_ulogic; - p_in_1 : IN std_ulogic; - p_in_2 : IN std_ulogic; - p_in_3 : IN std_ulogic; - p_in_4 : IN std_ulogic; - p_in_5 : IN std_ulogic; - p_in_6 : IN std_ulogic; - p_in_7 : IN std_ulogic; - p_out_0 : OUT std_ulogic; - p_out_1 : OUT std_ulogic; - p_out_2 : OUT std_ulogic; - p_out_3 : OUT std_ulogic; - p_out_4 : OUT std_ulogic; - p_out_5 : OUT std_ulogic; - p_out_6 : OUT std_ulogic; - p_out_7 : OUT std_ulogic; - cl0i : IN std_ulogic; - cl0 : OUT std_ulogic; - z0i : IN std_ulogic; - z0 : OUT std_ulogic; - ff0i : IN std_ulogic; - ff0 : OUT std_ulogic; - ce1i : IN std_ulogic; - ce1 : OUT std_ulogic; - cl1i : IN std_ulogic; - cl1 : OUT std_ulogic; - z1i : IN std_ulogic; - z1 : OUT std_ulogic; - ff1i : IN std_ulogic; - ff1 : OUT std_ulogic; - cap0i : IN std_ulogic; - cap0 : OUT std_ulogic; - cap1i : IN std_ulogic; - cap1 : OUT std_ulogic; - ci : IN std_ulogic; - co_msb : OUT std_ulogic; - sir : IN std_ulogic; - sol_msb : OUT std_ulogic; - cfbi : IN std_ulogic; - cfbo : OUT std_ulogic; - sil : IN std_ulogic; - sor : OUT std_ulogic; - cmsbi : IN std_ulogic; - cmsbo : OUT std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT decimatorcell - PORT ( - aclock : IN std_ulogic; - mod_dat_0 : IN std_ulogic; - mod_dat_1 : IN std_ulogic; - mod_dat_2 : IN std_ulogic; - mod_dat_3 : IN std_ulogic; - ext_start : IN std_ulogic; - modrst : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT dfbcell - PORT ( - clock : IN std_ulogic; - in_1 : IN std_ulogic; - in_2 : IN std_ulogic; - out_1 : OUT std_ulogic; - out_2 : OUT std_ulogic; - dmareq_1 : OUT std_ulogic; - dmareq_2 : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT drqcell - PORT ( - dmareq : IN std_ulogic; - termin : IN std_ulogic; - termout : OUT std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT dsmodcell - PORT ( - aclock : IN std_ulogic; - modbitin_udb : IN std_ulogic; - reset_udb : IN std_ulogic; - reset_dec : IN std_ulogic; - dec_clock : OUT std_ulogic; - mod_dat_0 : OUT std_ulogic; - mod_dat_1 : OUT std_ulogic; - mod_dat_2 : OUT std_ulogic; - mod_dat_3 : OUT std_ulogic; - dout_udb_0 : OUT std_ulogic; - dout_udb_1 : OUT std_ulogic; - dout_udb_2 : OUT std_ulogic; - dout_udb_3 : OUT std_ulogic; - dout_udb_4 : OUT std_ulogic; - dout_udb_5 : OUT std_ulogic; - dout_udb_6 : OUT std_ulogic; - dout_udb_7 : OUT std_ulogic; - extclk_cp_udb : IN std_ulogic; - clk_udb : IN std_ulogic); - END COMPONENT; - COMPONENT emifcell - PORT ( - EM_clock : OUT std_ulogic; - EM_CEn : OUT std_ulogic; - EM_OEn : OUT std_ulogic; - EM_ADSCn : OUT std_ulogic; - EM_sleep : OUT std_ulogic; - EM_WRn : OUT std_ulogic; - dataport_OE : OUT std_ulogic; - dataport_OEn : OUT std_ulogic; - wr : OUT std_ulogic; - rd : OUT std_ulogic; - udb_stall : IN std_ulogic; - udb_ready : IN std_ulogic; - busclk : IN std_ulogic); - END COMPONENT; - COMPONENT i2ccell - PORT ( - clock : IN std_ulogic; - scl_in : IN std_ulogic; - sda_in : IN std_ulogic; - scl_out : OUT std_ulogic; - sda_out : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT interrupt - PORT ( - interrupt : IN std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT iocell - PORT ( - pin_input : IN std_ulogic; - oe : IN std_ulogic; - clock : IN std_ulogic; - fb : OUT std_ulogic; - pad_in : IN std_ulogic; - pad_out : OUT std_ulogic); - END COMPONENT; - COMPONENT lcdctrlcell - PORT ( - drive_en : IN std_ulogic; - frame : IN std_ulogic; - data_clk : IN std_ulogic; - en_hi : IN std_ulogic; - dac_dis : IN std_ulogic; - chop_clk : IN std_ulogic; - int_clr : IN std_ulogic; - lp_ack_udb : IN std_ulogic; - mode_1 : IN std_ulogic; - mode_2 : IN std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT logicalport - PORT ( - interrupt : OUT std_ulogic; - precharge : IN std_ulogic); - END COMPONENT; - COMPONENT lpfcell - END COMPONENT; - COMPONENT lvdcell - PORT ( - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT m0s8clockblockcell - PORT ( - imo : OUT std_ulogic; - ext : OUT std_ulogic; - eco : OUT std_ulogic; - ilo : OUT std_ulogic; - wco : OUT std_ulogic; - dbl : OUT std_ulogic; - pll : OUT std_ulogic; - dpll : OUT std_ulogic; - dsi_out_0 : OUT std_ulogic; - dsi_out_1 : OUT std_ulogic; - dsi_out_2 : OUT std_ulogic; - dsi_out_3 : OUT std_ulogic; - lfclk : OUT std_ulogic; - hfclk : OUT std_ulogic; - sysclk : OUT std_ulogic; - halfsysclk : OUT std_ulogic; - udb_div_0 : OUT std_ulogic; - udb_div_1 : OUT std_ulogic; - udb_div_2 : OUT std_ulogic; - udb_div_3 : OUT std_ulogic; - udb_div_4 : OUT std_ulogic; - udb_div_5 : OUT std_ulogic; - udb_div_6 : OUT std_ulogic; - udb_div_7 : OUT std_ulogic; - uab_div_0 : OUT std_ulogic; - uab_div_1 : OUT std_ulogic; - uab_div_2 : OUT std_ulogic; - uab_div_3 : OUT std_ulogic; - ff_div_0 : OUT std_ulogic; - ff_div_1 : OUT std_ulogic; - ff_div_2 : OUT std_ulogic; - ff_div_3 : OUT std_ulogic; - ff_div_4 : OUT std_ulogic; - ff_div_5 : OUT std_ulogic; - ff_div_6 : OUT std_ulogic; - ff_div_7 : OUT std_ulogic; - ff_div_8 : OUT std_ulogic; - ff_div_9 : OUT std_ulogic; - ff_div_10 : OUT std_ulogic; - ff_div_11 : OUT std_ulogic; - ff_div_12 : OUT std_ulogic; - ff_div_13 : OUT std_ulogic; - ff_div_14 : OUT std_ulogic; - ff_div_15 : OUT std_ulogic; - dsi_in_0 : IN std_ulogic; - dsi_in_1 : IN std_ulogic; - dsi_in_2 : IN std_ulogic; - dsi_in_3 : IN std_ulogic); - END COMPONENT; - COMPONENT m0s8lcdcell - PORT ( - common_0 : OUT std_ulogic; - common_1 : OUT std_ulogic; - common_2 : OUT std_ulogic; - common_3 : OUT std_ulogic; - common_4 : OUT std_ulogic; - common_5 : OUT std_ulogic; - common_6 : OUT std_ulogic; - common_7 : OUT std_ulogic; - common_8 : OUT std_ulogic; - common_9 : OUT std_ulogic; - common_10 : OUT std_ulogic; - common_11 : OUT std_ulogic; - common_12 : OUT std_ulogic; - common_13 : OUT std_ulogic; - common_14 : OUT std_ulogic; - common_15 : OUT std_ulogic; - segment_0 : OUT std_ulogic; - segment_1 : OUT std_ulogic; - segment_2 : OUT std_ulogic; - segment_3 : OUT std_ulogic; - segment_4 : OUT std_ulogic; - segment_5 : OUT std_ulogic; - segment_6 : OUT std_ulogic; - segment_7 : OUT std_ulogic; - segment_8 : OUT std_ulogic; - segment_9 : OUT std_ulogic; - segment_10 : OUT std_ulogic; - segment_11 : OUT std_ulogic; - segment_12 : OUT std_ulogic; - segment_13 : OUT std_ulogic; - segment_14 : OUT std_ulogic; - segment_15 : OUT std_ulogic; - segment_16 : OUT std_ulogic; - segment_17 : OUT std_ulogic; - segment_18 : OUT std_ulogic; - segment_19 : OUT std_ulogic; - segment_20 : OUT std_ulogic; - segment_21 : OUT std_ulogic; - segment_22 : OUT std_ulogic; - segment_23 : OUT std_ulogic; - segment_24 : OUT std_ulogic; - segment_25 : OUT std_ulogic; - segment_26 : OUT std_ulogic; - segment_27 : OUT std_ulogic; - segment_28 : OUT std_ulogic; - segment_29 : OUT std_ulogic; - segment_30 : OUT std_ulogic; - segment_31 : OUT std_ulogic; - segment_32 : OUT std_ulogic; - segment_33 : OUT std_ulogic; - segment_34 : OUT std_ulogic; - segment_35 : OUT std_ulogic; - segment_36 : OUT std_ulogic; - segment_37 : OUT std_ulogic; - segment_38 : OUT std_ulogic; - segment_39 : OUT std_ulogic; - segment_40 : OUT std_ulogic; - segment_41 : OUT std_ulogic; - segment_42 : OUT std_ulogic; - segment_43 : OUT std_ulogic; - segment_44 : OUT std_ulogic; - segment_45 : OUT std_ulogic; - segment_46 : OUT std_ulogic; - segment_47 : OUT std_ulogic; - segment_48 : OUT std_ulogic; - segment_49 : OUT std_ulogic; - segment_50 : OUT std_ulogic; - segment_51 : OUT std_ulogic; - segment_52 : OUT std_ulogic; - segment_53 : OUT std_ulogic; - segment_54 : OUT std_ulogic; - segment_55 : OUT std_ulogic; - segment_56 : OUT std_ulogic; - segment_57 : OUT std_ulogic; - segment_58 : OUT std_ulogic; - segment_59 : OUT std_ulogic; - segment_60 : OUT std_ulogic; - segment_61 : OUT std_ulogic; - segment_62 : OUT std_ulogic; - segment_63 : OUT std_ulogic; - clock : IN std_ulogic); - END COMPONENT; - COMPONENT m0s8scbcell - PORT ( - clock : IN std_ulogic; - interrupt : OUT std_ulogic; - rx : IN std_ulogic; - tx : OUT std_ulogic; - mosi_m : OUT std_ulogic; - miso_m : IN std_ulogic; - select_m_0 : OUT std_ulogic; - select_m_1 : OUT std_ulogic; - select_m_2 : OUT std_ulogic; - select_m_3 : OUT std_ulogic; - sclk_m : OUT std_ulogic; - mosi_s : IN std_ulogic; - miso_s : OUT std_ulogic; - select_s : IN std_ulogic; - sclk_s : IN std_ulogic; - scl : INOUT std_ulogic; - sda : INOUT std_ulogic); - END COMPONENT; - COMPONENT m0s8tcpwmcell - PORT ( - clock : IN std_ulogic; - capture : IN std_ulogic; - count : IN std_ulogic; - reload : IN std_ulogic; - stop : IN std_ulogic; - start : IN std_ulogic; - tr_underflow : OUT std_ulogic; - tr_overflow : OUT std_ulogic; - tr_compare_match : OUT std_ulogic; - line_out : OUT std_ulogic; - line_out_compl : OUT std_ulogic; - interrupt : OUT std_ulogic); - END COMPONENT; - COMPONENT m0s8tsscell - PORT ( - clk_seq : IN std_ulogic; - clk_adc : IN std_ulogic; - ext_reject : IN std_ulogic; - ext_sync : IN std_ulogic; - tx_sync : IN std_ulogic; - reject_in : IN std_ulogic; - start_in : IN std_ulogic; - lx_det_hi : OUT std_ulogic; - lx_det_lo : OUT std_ulogic; - rej_window : OUT std_ulogic; - tx_hilo : OUT std_ulogic; - phase_end : OUT std_ulogic; - phase_num_0 : OUT std_ulogic; - phase_num_1 : OUT std_ulogic; - phase_num_2 : OUT std_ulogic; - phase_num_3 : OUT std_ulogic; - ipq_reject : OUT std_ulogic; - ipq_start : OUT std_ulogic; - epq_reject : OUT std_ulogic; - epq_start : OUT std_ulogic; - mcs_reject : OUT std_ulogic; - mcs_start : OUT std_ulogic; - do_switch : OUT std_ulogic; - adc_start : OUT std_ulogic; - adc_done : OUT std_ulogic); - END COMPONENT; - COMPONENT macrocell - PORT ( - main_0 : IN std_ulogic; - main_1 : IN std_ulogic; - main_2 : IN std_ulogic; - main_3 : IN std_ulogic; - main_4 : IN std_ulogic; - main_5 : IN std_ulogic; - main_6 : IN std_ulogic; - main_7 : IN std_ulogic; - main_8 : IN std_ulogic; - main_9 : IN std_ulogic; - main_10 : IN std_ulogic; - main_11 : IN std_ulogic; - ar_0 : IN std_ulogic; - ap_0 : IN std_ulogic; - clock_0 : IN std_ulogic; - clk_en : IN std_ulogic; - cin : IN std_ulogic; - cpt0_0 : IN std_ulogic; - cpt0_1 : IN std_ulogic; - cpt0_2 : IN std_ulogic; - cpt0_3 : IN std_ulogic; - cpt0_4 : IN std_ulogic; - cpt0_5 : IN std_ulogic; - cpt0_6 : IN std_ulogic; - cpt0_7 : IN std_ulogic; - cpt0_8 : IN std_ulogic; - cpt0_9 : IN std_ulogic; - cpt0_10 : IN std_ulogic; - cpt0_11 : IN std_ulogic; - cpt1_0 : IN std_ulogic; - cpt1_1 : IN std_ulogic; - cpt1_2 : IN std_ulogic; - cpt1_3 : IN std_ulogic; - cpt1_4 : IN std_ulogic; - cpt1_5 : IN std_ulogic; - cpt1_6 : IN std_ulogic; - cpt1_7 : IN std_ulogic; - cpt1_8 : IN std_ulogic; - cpt1_9 : IN std_ulogic; - cpt1_10 : IN std_ulogic; - cpt1_11 : IN std_ulogic; - cout : OUT std_ulogic; - q : OUT std_ulogic; - q_fixed : OUT std_ulogic); - END COMPONENT; - COMPONENT p4abufcell - PORT ( - ctb_dsi_comp : OUT std_ulogic; - dsi_out : IN std_ulogic); - END COMPONENT; - COMPONENT p4csdcell - PORT ( - sense_out : OUT std_ulogic; - sample_out : OUT std_ulogic; - sense_in : IN std_ulogic; - sample_in : IN std_ulogic); - END COMPONENT; - COMPONENT p4csidaccell - PORT ( - en : IN std_ulogic); - END COMPONENT; - COMPONENT p4halfuabcell - PORT ( - clock : IN std_ulogic; - comp : OUT std_ulogic; - ctrl : IN std_ulogic); - END COMPONENT; - COMPONENT p4lpcompcell - PORT ( - cmpout : OUT std_ulogic; - irq : OUT std_ulogic); - END COMPONENT; - COMPONENT p4rsbcell - END COMPONENT; - COMPONENT p4sarcell - PORT ( - clock : IN std_ulogic; - pump_clock : IN std_ulogic; - sample_done : OUT std_ulogic; - chan_id_valid : OUT std_ulogic; - chan_id_0 : OUT std_ulogic; - chan_id_1 : OUT std_ulogic; - chan_id_2 : OUT std_ulogic; - chan_id_3 : OUT std_ulogic; - data_valid : OUT std_ulogic; - data_0 : OUT std_ulogic; - data_1 : OUT std_ulogic; - data_2 : OUT std_ulogic; - data_3 : OUT std_ulogic; - data_4 : OUT std_ulogic; - data_5 : OUT std_ulogic; - data_6 : OUT std_ulogic; - data_7 : OUT std_ulogic; - data_8 : OUT std_ulogic; - data_9 : OUT std_ulogic; - data_10 : OUT std_ulogic; - data_11 : OUT std_ulogic; - eos_intr : OUT std_ulogic; - sw_negvref : IN std_ulogic; - cfg_st_sel_0 : IN std_ulogic; - cfg_st_sel_1 : IN std_ulogic; - cfg_average : IN std_ulogic; - cfg_resolution : IN std_ulogic; - cfg_differential : IN std_ulogic; - trigger : IN std_ulogic; - data_hilo_sel : IN std_ulogic); - END COMPONENT; - COMPONENT p4tempcell - END COMPONENT; - COMPONENT p4vrefcell - END COMPONENT; - COMPONENT pmcell - PORT ( - ctw_int : OUT std_ulogic; - ftw_int : OUT std_ulogic; - limact_int : OUT std_ulogic; - onepps_int : OUT std_ulogic; - pm_int : OUT std_ulogic); - END COMPONENT; - COMPONENT sarcell - PORT ( - clock : IN std_ulogic; - pump_clock : IN std_ulogic; - clk_udb : IN std_ulogic; - sof_udb : IN std_ulogic; - vp_ctl_udb_0 : IN std_ulogic; - vp_ctl_udb_1 : IN std_ulogic; - vp_ctl_udb_2 : IN std_ulogic; - vp_ctl_udb_3 : IN std_ulogic; - vn_ctl_udb_0 : IN std_ulogic; - vn_ctl_udb_1 : IN std_ulogic; - vn_ctl_udb_2 : IN std_ulogic; - vn_ctl_udb_3 : IN std_ulogic; - data_out_udb_0 : OUT std_ulogic; - data_out_udb_1 : OUT std_ulogic; - data_out_udb_2 : OUT std_ulogic; - data_out_udb_3 : OUT std_ulogic; - data_out_udb_4 : OUT std_ulogic; - data_out_udb_5 : OUT std_ulogic; - data_out_udb_6 : OUT std_ulogic; - data_out_udb_7 : OUT std_ulogic; - data_out_udb_8 : OUT std_ulogic; - data_out_udb_9 : OUT std_ulogic; - data_out_udb_10 : OUT std_ulogic; - data_out_udb_11 : OUT std_ulogic; - eof_udb : OUT std_ulogic; - irq : OUT std_ulogic; - next : OUT std_ulogic); - END COMPONENT; - COMPONENT sccell - PORT ( - aclk : IN std_ulogic; - bst_clk : IN std_ulogic; - clk_udb : IN std_ulogic; - modout : OUT std_ulogic; - dyn_cntl_udb : IN std_ulogic); - END COMPONENT; - COMPONENT spccell - PORT ( - data_ready : OUT std_ulogic; - eeprom_fault_int : OUT std_ulogic; - idle : OUT std_ulogic); - END COMPONENT; - COMPONENT statuscell - PORT ( - status_0 : IN std_ulogic; - status_1 : IN std_ulogic; - status_2 : IN std_ulogic; - status_3 : IN std_ulogic; - status_4 : IN std_ulogic; - status_5 : IN std_ulogic; - status_6 : IN std_ulogic; - status_7 : IN std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - clk_en : IN std_ulogic); - END COMPONENT; - COMPONENT statusicell - PORT ( - status_0 : IN std_ulogic; - status_1 : IN std_ulogic; - status_2 : IN std_ulogic; - status_3 : IN std_ulogic; - status_4 : IN std_ulogic; - status_5 : IN std_ulogic; - status_6 : IN std_ulogic; - clock : IN std_ulogic; - reset : IN std_ulogic; - interrupt : OUT std_ulogic; - clk_en : IN std_ulogic); - END COMPONENT; - COMPONENT synccell - PORT ( - in : IN std_ulogic; - clock : IN std_ulogic; - out : OUT std_ulogic; - clk_en : IN std_ulogic; - clock_n : IN std_ulogic; - extclk : IN std_ulogic; - extclk_n : IN std_ulogic); - END COMPONENT; - COMPONENT tfaultcell - PORT ( - tfault_dsi : OUT std_ulogic); - END COMPONENT; - COMPONENT timercell - PORT ( - clock : IN std_ulogic; - kill : IN std_ulogic; - enable : IN std_ulogic; - capture : IN std_ulogic; - timer_reset : IN std_ulogic; - tc : OUT std_ulogic; - cmp : OUT std_ulogic; - irq : OUT std_ulogic); - END COMPONENT; - COMPONENT udbclockencell - PORT ( - clock_in : IN std_ulogic; - enable : IN std_ulogic; - clock_out : OUT std_ulogic); - END COMPONENT; - COMPONENT usbcell - PORT ( - sof_int : OUT std_ulogic; - arb_int : OUT std_ulogic; - usb_int : OUT std_ulogic; - ord_int : OUT std_ulogic; - ept_int_0 : OUT std_ulogic; - ept_int_1 : OUT std_ulogic; - ept_int_2 : OUT std_ulogic; - ept_int_3 : OUT std_ulogic; - ept_int_4 : OUT std_ulogic; - ept_int_5 : OUT std_ulogic; - ept_int_6 : OUT std_ulogic; - ept_int_7 : OUT std_ulogic; - ept_int_8 : OUT std_ulogic; - dma_req_0 : OUT std_ulogic; - dma_req_1 : OUT std_ulogic; - dma_req_2 : OUT std_ulogic; - dma_req_3 : OUT std_ulogic; - dma_req_4 : OUT std_ulogic; - dma_req_5 : OUT std_ulogic; - dma_req_6 : OUT std_ulogic; - dma_req_7 : OUT std_ulogic; - dma_termin : OUT std_ulogic); - END COMPONENT; - COMPONENT vidaccell - PORT ( - data_0 : IN std_ulogic; - data_1 : IN std_ulogic; - data_2 : IN std_ulogic; - data_3 : IN std_ulogic; - data_4 : IN std_ulogic; - data_5 : IN std_ulogic; - data_6 : IN std_ulogic; - data_7 : IN std_ulogic; - strobe : IN std_ulogic; - strobe_udb : IN std_ulogic; - reset : IN std_ulogic; - idir : IN std_ulogic; - ioff : IN std_ulogic); - END COMPONENT; -BEGIN - - ClockBlock:clockblockcell - PORT MAP( - clk_bus_glb => ClockBlock_BUS_CLK, - clk_bus => ClockBlock_BUS_CLK_local, - clk_sync => ClockBlock_MASTER_CLK, - clk_32k_xtal => ClockBlock_XTAL_32KHZ, - xtal => ClockBlock_XTAL, - ilo => ClockBlock_ILO, - clk_100k => ClockBlock_100k, - clk_1k => ClockBlock_1k, - clk_32k => ClockBlock_32k, - pllout => ClockBlock_PLL_OUT, - imo => ClockBlock_IMO, - dsi_clkin_div => open, - dsi_glb_div => open, - dclk_glb_0 => \SPIM:Net_276\, - dclk_0 => \SPIM:Net_276_local\); - - Net_107:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * main_2) + (!main_0 * main_1 * !main_3) + (main_0 * !main_1 * !main_3)") - PORT MAP( - q => Net_107, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => Net_107); - - Net_30:macrocell - GENERIC MAP( - eqn_main => "(main_0 * !main_1 * main_3) + (main_0 * main_1 * !main_2 * !main_3) + (!main_1 * main_2 * !main_3 * main_4)") - PORT MAP( - q => Net_30, - clock_0 => \SPIM:Net_276\, - main_0 => Net_30, - main_1 => \SPIM:BSPIM:state_2\, - main_2 => \SPIM:BSPIM:state_1\, - main_3 => \SPIM:BSPIM:state_0\, - main_4 => \SPIM:BSPIM:mosi_from_dp\); - - Net_31:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * !main_2)") - PORT MAP( - q => Net_31, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - \LCD:LCDPort(0)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(0)_PAD\); - - \LCD:LCDPort(1)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 1, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(1)_PAD\); - - \LCD:LCDPort(2)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 2, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(2)_PAD\); - - \LCD:LCDPort(3)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 3, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(3)_PAD\); - - \LCD:LCDPort(4)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 4, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(4)_PAD\); - - \LCD:LCDPort(5)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 5, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(5)_PAD\); - - \LCD:LCDPort(6)\:iocell - GENERIC MAP( - logicalport => "\LCD:LCDPort\", - logicalport_pin_id => 6, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pad_in => \LCD:LCDPort(6)_PAD\); - - \LCD:LCDPort\:logicalport - GENERIC MAP( - drive_mode => "110110110110110110110", - ibuf_enabled => "1111111", - id => "923198f5-05eb-4681-ae86-b3593c234480/ed092b9b-d398-4703-be89-cebf998501f6", - init_dr_st => "0000000", - input_sync => "1111111", - intr_mode => "00000000000000", - io_voltage => ", , , , , , ", - layout_mode => "CONTIGUOUS", - oe_conn => "0000000", - output_conn => "0000000", - output_sync => "0000000", - pin_aliases => ",,,,,,", - pin_mode => "OOOOOOO", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0000000", - sio_ibuf => "00000000", - sio_info => "00000000000000", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0000000", - spanning => 0, - sw_only => 0, - use_annotation => "0000000", - vtrip => "10101010101010", - width => 7); - - \SPIM:BSPIM:BitCounter\:count7cell - GENERIC MAP( - cy_alt_mode => 0, - cy_init_value => "0000000", - cy_period => "0001111", - cy_route_en => 1, - cy_route_ld => 0) - PORT MAP( - clock => \SPIM:Net_276\, - load => open, - enable => \SPIM:BSPIM:cnt_enable\, - count_6 => \SPIM:BSPIM:count_6\, - count_5 => \SPIM:BSPIM:count_5\, - count_4 => \SPIM:BSPIM:count_4\, - count_3 => \SPIM:BSPIM:count_3\, - count_2 => \SPIM:BSPIM:count_2\, - count_1 => \SPIM:BSPIM:count_1\, - count_0 => \SPIM:BSPIM:count_0\, - tc => \SPIM:BSPIM:cnt_tc\); - - \SPIM:BSPIM:RxStsReg\:statusicell - GENERIC MAP( - cy_force_order => 1, - cy_int_mask => "0000000", - cy_md_select => "1000000") - PORT MAP( - clock => \SPIM:Net_276\, - status_6 => \SPIM:BSPIM:rx_status_6\, - status_5 => \SPIM:BSPIM:rx_status_5\, - status_4 => \SPIM:BSPIM:rx_status_4\, - status_3 => open, - status_2 => open, - status_1 => open, - status_0 => open); - - \SPIM:BSPIM:TxStsReg\:statusicell - GENERIC MAP( - cy_force_order => 1, - cy_int_mask => "0000000", - cy_md_select => "0001001") - PORT MAP( - clock => \SPIM:Net_276\, - status_6 => open, - status_5 => open, - status_4 => \SPIM:BSPIM:tx_status_4\, - status_3 => \SPIM:BSPIM:load_rx_data\, - status_2 => \SPIM:BSPIM:tx_status_2\, - status_1 => \SPIM:BSPIM:tx_status_1\, - status_0 => \SPIM:BSPIM:tx_status_0\); - - \SPIM:BSPIM:cnt_enable\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * main_8) + (!main_0 * !main_1 * main_2 * !main_8) + (main_0 * main_1 * main_8) + (main_0 * main_2 * main_8) + (main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7 * main_8)") - PORT MAP( - q => \SPIM:BSPIM:cnt_enable\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:cnt_enable\); - - \SPIM:BSPIM:load_cond\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8) + (main_0 * !main_1 * !main_2 * !main_8) + (main_1 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8) + (main_2 * !main_3 * !main_4 * !main_5 * !main_6 * !main_7 * main_8)") - PORT MAP( - q => \SPIM:BSPIM:load_cond\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:load_cond\); - - \SPIM:BSPIM:load_rx_data\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * !main_3 * main_4)") - PORT MAP( - q => \SPIM:BSPIM:load_rx_data\, - main_0 => \SPIM:BSPIM:count_4\, - main_1 => \SPIM:BSPIM:count_3\, - main_2 => \SPIM:BSPIM:count_2\, - main_3 => \SPIM:BSPIM:count_1\, - main_4 => \SPIM:BSPIM:count_0\); - - \SPIM:BSPIM:rx_status_6\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2 * !main_3 * main_4 * main_5)") - PORT MAP( - q => \SPIM:BSPIM:rx_status_6\, - main_0 => \SPIM:BSPIM:count_4\, - main_1 => \SPIM:BSPIM:count_3\, - main_2 => \SPIM:BSPIM:count_2\, - main_3 => \SPIM:BSPIM:count_1\, - main_4 => \SPIM:BSPIM:count_0\, - main_5 => \SPIM:BSPIM:rx_status_4\); - - \SPIM:BSPIM:sR8:Dp:u0\:datapathcell - GENERIC MAP( - a0_init => "00000000", - a1_init => "00000000", - ce0_sync => 1, - ce1_sync => 1, - cl0_sync => 1, - cl1_sync => 1, - cmsb_sync => 1, - co_msb_sync => 1, - cy_dpconfig => "0000000000000000000000001100000000000001010000000001000100010000000000011100000000010001000000000000000101000000000000010100000011111111000000001111111111111111000000000010001000001100111100000000000000000100", - d0_init => "00000000", - d1_init => "00000000", - f0_blk_sync => 1, - f0_bus_sync => 1, - f1_blk_sync => 1, - f1_bus_sync => 1, - ff0_sync => 1, - ff1_sync => 1, - ov_msb_sync => 1, - so_sync => 1, - z0_sync => 1, - z1_sync => 1, - uses_p_in => '0', - uses_p_out => '0') - PORT MAP( - clock => \SPIM:Net_276\, - cs_addr_2 => \SPIM:BSPIM:state_2\, - cs_addr_1 => \SPIM:BSPIM:state_1\, - cs_addr_0 => \SPIM:BSPIM:state_0\, - route_si => Net_20, - f1_load => \SPIM:BSPIM:load_rx_data\, - so_comb => \SPIM:BSPIM:mosi_from_dp\, - f0_bus_stat_comb => \SPIM:BSPIM:tx_status_2\, - f0_blk_stat_comb => \SPIM:BSPIM:tx_status_1\, - f1_bus_stat_comb => \SPIM:BSPIM:rx_status_5\, - f1_blk_stat_comb => \SPIM:BSPIM:rx_status_4\, - busclk => ClockBlock_BUS_CLK); - - \SPIM:BSPIM:state_0\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7) + (main_0 * !main_2) + (!main_1 * !main_2 * main_8) + (main_1 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8)") - PORT MAP( - q => \SPIM:BSPIM:state_0\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:state_1\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2) + (!main_0 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8) + (main_0 * main_1) + (main_0 * main_2) + (main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7)") - PORT MAP( - q => \SPIM:BSPIM:state_1\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:state_2\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * main_1 * !main_2 * !main_3 * !main_4 * !main_5 * main_6 * !main_7 * !main_8) + (!main_0 * main_1 * main_2 * !main_3 * !main_4 * !main_5 * !main_6 * main_7)") - PORT MAP( - q => \SPIM:BSPIM:state_2\, - clock_0 => \SPIM:Net_276\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\, - main_3 => \SPIM:BSPIM:count_4\, - main_4 => \SPIM:BSPIM:count_3\, - main_5 => \SPIM:BSPIM:count_2\, - main_6 => \SPIM:BSPIM:count_1\, - main_7 => \SPIM:BSPIM:count_0\, - main_8 => \SPIM:BSPIM:tx_status_1\); - - \SPIM:BSPIM:tx_status_0\:macrocell - GENERIC MAP( - eqn_main => "(main_0 * !main_1 * main_2)") - PORT MAP( - q => \SPIM:BSPIM:tx_status_0\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - \SPIM:BSPIM:tx_status_4\:macrocell - GENERIC MAP( - eqn_main => "(!main_0 * !main_1 * !main_2)") - PORT MAP( - q => \SPIM:BSPIM:tx_status_4\, - main_0 => \SPIM:BSPIM:state_2\, - main_1 => \SPIM:BSPIM:state_1\, - main_2 => \SPIM:BSPIM:state_0\); - - m_miso_pin:logicalport - GENERIC MAP( - drive_mode => "001", - ibuf_enabled => "1", - id => "1425177d-0d0e-4468-8bcc-e638e5509a9b", - init_dr_st => "0", - input_sync => "0", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "0", - output_sync => "0", - pin_aliases => "", - pin_mode => "I", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "00", - width => 1); - - m_miso_pin(0):iocell - GENERIC MAP( - logicalport => "m_miso_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - fb => Net_20, - pad_in => m_miso_pin(0)_PAD); - - m_mosi_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "ed092b9b-d398-4703-be89-cebf998501f6", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_mosi_pin(0):iocell - GENERIC MAP( - logicalport => "m_mosi_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_30, - pad_out => m_mosi_pin(0)_PAD, - pad_in => m_mosi_pin(0)_PAD); - - m_sclk_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "640f8e70-5666-4015-9ac8-6ed7f71d8e01", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_sclk_pin(0):iocell - GENERIC MAP( - logicalport => "m_sclk_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_31, - pad_out => m_sclk_pin(0)_PAD, - pad_in => m_sclk_pin(0)_PAD); - - m_ss_pin:logicalport - GENERIC MAP( - drive_mode => "110", - ibuf_enabled => "1", - id => "5ec2583b-d6a1-4a86-ac3e-b170e6f000fd", - init_dr_st => "0", - input_sync => "1", - intr_mode => "00", - io_voltage => "", - layout_mode => "CONTIGUOUS", - oe_conn => "0", - output_conn => "1", - output_sync => "0", - pin_aliases => "", - pin_mode => "O", - por_state => 4, - port_alias_group => "", - port_alias_required => 0, - sio_group_cnt => 0, - sio_hifreq => "", - sio_hyst => "0", - sio_ibuf => "00000000", - sio_info => "00", - sio_obuf => "00000000", - sio_refsel => "00000000", - sio_vtrip => "00000000", - slew_rate => "0", - spanning => 0, - sw_only => 0, - use_annotation => "0", - vtrip => "10", - width => 1); - - m_ss_pin(0):iocell - GENERIC MAP( - logicalport => "m_ss_pin", - logicalport_pin_id => 0, - io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") - PORT MAP( - oe => open, - pin_input => Net_107, - pad_out => m_ss_pin(0)_PAD, - pad_in => m_ss_pin(0)_PAD); - -END __DEFAULT__; diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_timing.html b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_timing.html deleted file mode 100644 index e2529d6..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_timing.html +++ /dev/null @@ -1,2447 +0,0 @@ - - - - -Static Timing Analysis Report - - - - - - -

Static Timing Analysis

- - - - - - - - - - - - - - - - - - - - - - - - - -
Project : SPI_Design01
Build Time : 01/16/13 14:35:50
Device : CY8C5568AXI-060
Temperature : -40C - 85/125C
Vdda : 3.30
Vddd : 3.30
Vio0 : 3.30
Vio1 : 3.30
Vio2 : 3.30
Vio3 : 3.30
Voltage : 3.3
Vusb : 3.30
-
-
-
No Timing Violations
-
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
ClockDomainNominal FrequencyRequired FrequencyMaximum FrequencyViolation
CyILOCyILO1.000 kHz1.000 kHz N/A
CyIMOCyIMO3.000 MHz3.000 MHz N/A
CyMASTER_CLKCyMASTER_CLK24.000 MHz24.000 MHz N/A
SPIM_IntClockCyMASTER_CLK2.000 MHz2.000 MHz62.066 MHz
CyBUS_CLKCyMASTER_CLK24.000 MHz24.000 MHz N/A
CyPLL_OUTCyPLL_OUT24.000 MHz24.000 MHz N/A
-
-
-
-
-
-
-
Path Delay Requirement : 500ns(2 MHz)
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
SourceDestinationFMaxDelay (ns)Slack (ns)Violation
\SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb\SPIM:BSPIM:RxStsReg\/status_662.066 MHz16.112483.888
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\\SPIM:BSPIM:sR8:Dp:u0\/clock\SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb5.280
Route 1\SPIM:BSPIM:rx_status_4\\SPIM:BSPIM:sR8:Dp:u0\/f1_blk_stat_comb\SPIM:BSPIM:rx_status_6\/main_53.604
macrocell7U(0,4)1\SPIM:BSPIM:rx_status_6\\SPIM:BSPIM:rx_status_6\/main_5\SPIM:BSPIM:rx_status_6\/q3.350
Route 1\SPIM:BSPIM:rx_status_6\\SPIM:BSPIM:rx_status_6\/q\SPIM:BSPIM:RxStsReg\/status_62.308
statusicell1U(0,4)1\SPIM:BSPIM:RxStsReg\SETUP1.570
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_1\SPIM:BSPIM:sR8:Dp:u0\/f1_load63.219 MHz15.818484.182
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_12.110
Route 1\SPIM:BSPIM:count_1\\SPIM:BSPIM:BitCounter\/count_1\SPIM:BSPIM:load_rx_data\/main_35.595
macrocell6U(0,4)1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/main_3\SPIM:BSPIM:load_rx_data\/q3.350
Route 1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/q\SPIM:BSPIM:sR8:Dp:u0\/f1_load2.913
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\SETUP1.850
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_1\SPIM:BSPIM:TxStsReg\/status_366.934 MHz14.940485.060
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_12.110
Route 1\SPIM:BSPIM:count_1\\SPIM:BSPIM:BitCounter\/count_1\SPIM:BSPIM:load_rx_data\/main_35.595
macrocell6U(0,4)1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/main_3\SPIM:BSPIM:load_rx_data\/q3.350
Route 1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/q\SPIM:BSPIM:TxStsReg\/status_32.315
statusicell2U(1,4)1\SPIM:BSPIM:TxStsReg\SETUP1.570
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_1\SPIM:BSPIM:RxStsReg\/status_666.966 MHz14.933485.067
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_12.110
Route 1\SPIM:BSPIM:count_1\\SPIM:BSPIM:BitCounter\/count_1\SPIM:BSPIM:rx_status_6\/main_35.595
macrocell7U(0,4)1\SPIM:BSPIM:rx_status_6\\SPIM:BSPIM:rx_status_6\/main_3\SPIM:BSPIM:rx_status_6\/q3.350
Route 1\SPIM:BSPIM:rx_status_6\\SPIM:BSPIM:rx_status_6\/q\SPIM:BSPIM:RxStsReg\/status_62.308
statusicell1U(0,4)1\SPIM:BSPIM:RxStsReg\SETUP1.570
Clock Skew0.000
-
\SPIM:BSPIM:sR8:Dp:u0\/so_combNet_30/main_467.898 MHz14.728485.272
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\\SPIM:BSPIM:sR8:Dp:u0\/clock\SPIM:BSPIM:sR8:Dp:u0\/so_comb8.300
Route 1\SPIM:BSPIM:mosi_from_dp\\SPIM:BSPIM:sR8:Dp:u0\/so_combNet_30/main_42.918
macrocell2U(0,4)1Net_30SETUP3.510
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_2\SPIM:BSPIM:sR8:Dp:u0\/f1_load70.832 MHz14.118485.882
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_22.110
Route 1\SPIM:BSPIM:count_2\\SPIM:BSPIM:BitCounter\/count_2\SPIM:BSPIM:load_rx_data\/main_23.895
macrocell6U(0,4)1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/main_2\SPIM:BSPIM:load_rx_data\/q3.350
Route 1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/q\SPIM:BSPIM:sR8:Dp:u0\/f1_load2.913
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\SETUP1.850
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_4\SPIM:BSPIM:sR8:Dp:u0\/f1_load71.582 MHz13.970486.030
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_42.110
Route 1\SPIM:BSPIM:count_4\\SPIM:BSPIM:BitCounter\/count_4\SPIM:BSPIM:load_rx_data\/main_03.747
macrocell6U(0,4)1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/main_0\SPIM:BSPIM:load_rx_data\/q3.350
Route 1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/q\SPIM:BSPIM:sR8:Dp:u0\/f1_load2.913
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\SETUP1.850
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_3\SPIM:BSPIM:sR8:Dp:u0\/f1_load71.674 MHz13.952486.048
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_32.110
Route 1\SPIM:BSPIM:count_3\\SPIM:BSPIM:BitCounter\/count_3\SPIM:BSPIM:load_rx_data\/main_13.729
macrocell6U(0,4)1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/main_1\SPIM:BSPIM:load_rx_data\/q3.350
Route 1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/q\SPIM:BSPIM:sR8:Dp:u0\/f1_load2.913
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\SETUP1.850
Clock Skew0.000
-
\SPIM:BSPIM:BitCounter\/count_0\SPIM:BSPIM:sR8:Dp:u0\/f1_load71.736 MHz13.940486.060
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
count7cellU(0,5)1\SPIM:BSPIM:BitCounter\\SPIM:BSPIM:BitCounter\/clock\SPIM:BSPIM:BitCounter\/count_02.110
Route 1\SPIM:BSPIM:count_0\\SPIM:BSPIM:BitCounter\/count_0\SPIM:BSPIM:load_rx_data\/main_43.717
macrocell6U(0,4)1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/main_4\SPIM:BSPIM:load_rx_data\/q3.350
Route 1\SPIM:BSPIM:load_rx_data\\SPIM:BSPIM:load_rx_data\/q\SPIM:BSPIM:sR8:Dp:u0\/f1_load2.913
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\SETUP1.850
Clock Skew0.000
-
\SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb\SPIM:BSPIM:state_1\/main_872.733 MHz13.749486.251
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\\SPIM:BSPIM:sR8:Dp:u0\/clock\SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb5.280
Route 1\SPIM:BSPIM:tx_status_1\\SPIM:BSPIM:sR8:Dp:u0\/f0_blk_stat_comb\SPIM:BSPIM:state_1\/main_84.959
macrocell9U(0,5)1\SPIM:BSPIM:state_1\SETUP3.510
Clock Skew0.000
-
-
-
-
-
-
-
-
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
SourceDestinationSlack (ns)Violation
\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:sR8:Dp:u0\/cs_addr_13.546
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/clock_0\SPIM:BSPIM:state_1\/q1.250
Route1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:sR8:Dp:u0\/cs_addr_12.296
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\ HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:load_cond\/q\SPIM:BSPIM:load_cond\/main_83.549
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell5U(0,4)1\SPIM:BSPIM:load_cond\\SPIM:BSPIM:load_cond\/clock_0\SPIM:BSPIM:load_cond\/q1.250
macrocell5U(0,4)1\SPIM:BSPIM:load_cond\\SPIM:BSPIM:load_cond\/q\SPIM:BSPIM:load_cond\/main_82.299
macrocell5U(0,4)1\SPIM:BSPIM:load_cond\ HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_1\/qNet_107/main_13.843
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/clock_0\SPIM:BSPIM:state_1\/q1.250
Route1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/qNet_107/main_12.593
macrocell1U(0,5)1Net_107 HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:state_1\/main_13.843
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/clock_0\SPIM:BSPIM:state_1\/q1.250
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:state_1\/main_12.593
macrocell9U(0,5)1\SPIM:BSPIM:state_1\ HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_1\/qNet_31/main_13.844
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/clock_0\SPIM:BSPIM:state_1\/q1.250
Route1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/qNet_31/main_12.594
macrocell3U(0,5)1Net_31 HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:state_0\/main_13.844
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/clock_0\SPIM:BSPIM:state_1\/q1.250
Route1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:state_0\/main_12.594
macrocell8U(0,5)1\SPIM:BSPIM:state_0\ HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:state_2\/main_13.844
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell9U(0,5)1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/clock_0\SPIM:BSPIM:state_1\/q1.250
Route1\SPIM:BSPIM:state_1\\SPIM:BSPIM:state_1\/q\SPIM:BSPIM:state_2\/main_12.594
macrocell10U(0,5)1\SPIM:BSPIM:state_2\ HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:cnt_enable\/q\SPIM:BSPIM:cnt_enable\/main_83.879
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell4U(0,4)1\SPIM:BSPIM:cnt_enable\\SPIM:BSPIM:cnt_enable\/clock_0\SPIM:BSPIM:cnt_enable\/q1.250
macrocell4U(0,4)1\SPIM:BSPIM:cnt_enable\\SPIM:BSPIM:cnt_enable\/q\SPIM:BSPIM:cnt_enable\/main_82.629
macrocell4U(0,4)1\SPIM:BSPIM:cnt_enable\ HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_0\/qNet_31/main_24.201
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell8U(0,5)1\SPIM:BSPIM:state_0\\SPIM:BSPIM:state_0\/clock_0\SPIM:BSPIM:state_0\/q1.250
Route1\SPIM:BSPIM:state_0\\SPIM:BSPIM:state_0\/qNet_31/main_22.951
macrocell3U(0,5)1Net_31 HOLD0.000
Clock Skew0.000
-
\SPIM:BSPIM:state_0\/q\SPIM:BSPIM:state_0\/main_24.201
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell8U(0,5)1\SPIM:BSPIM:state_0\\SPIM:BSPIM:state_0\/clock_0\SPIM:BSPIM:state_0\/q1.250
macrocell8U(0,5)1\SPIM:BSPIM:state_0\\SPIM:BSPIM:state_0\/q\SPIM:BSPIM:state_0\/main_22.951
macrocell8U(0,5)1\SPIM:BSPIM:state_0\ HOLD0.000
Clock Skew0.000
-
-
-
-
-
-
-
-
-
-
-
-
- - - - - - - - - - - - - - - - - -
SourceDestinationDelay (ns)
m_miso_pin(0)_PAD\SPIM:BSPIM:sR8:Dp:u0\/route_si40.183
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
Route1m_miso_pin(0)_PADm_miso_pin(0)_PADm_miso_pin(0)/pad_in0.000
iocell8P0[0]1m_miso_pin(0)m_miso_pin(0)/pad_inm_miso_pin(0)/fb26.840
Route1Net_20m_miso_pin(0)/fb\SPIM:BSPIM:sR8:Dp:u0\/route_si5.693
datapathcell1U(0,5)1\SPIM:BSPIM:sR8:Dp:u0\ SETUP7.650
Clock Clock path delay0.000
-
-
-
-
-
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
SourceDestinationDelay (ns)
Net_107/qm_ss_pin(0)_PAD25.727
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell1U(0,5)1Net_107Net_107/clock_0Net_107/q1.250
Route1Net_107Net_107/qm_ss_pin(0)/pin_input5.805
iocell11P0[7]1m_ss_pin(0)m_ss_pin(0)/pin_inputm_ss_pin(0)/pad_out18.672
Route1m_ss_pin(0)_PADm_ss_pin(0)/pad_outm_ss_pin(0)_PAD0.000
Clock Clock path delay0.000
-
Net_30/qm_mosi_pin(0)_PAD25.403
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell2U(0,4)1Net_30Net_30/clock_0Net_30/q1.250
Route1Net_30Net_30/qm_mosi_pin(0)/pin_input6.182
iocell9P0[5]1m_mosi_pin(0)m_mosi_pin(0)/pin_inputm_mosi_pin(0)/pad_out17.971
Route1m_mosi_pin(0)_PADm_mosi_pin(0)/pad_outm_mosi_pin(0)_PAD0.000
Clock Clock path delay0.000
-
Net_31/qm_sclk_pin(0)_PAD24.764
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
TypeLocationFanoutInstance/NetSourceDestDelay (ns)
macrocell3U(0,5)1Net_31Net_31/clock_0Net_31/q1.250
Route1Net_31Net_31/qm_sclk_pin(0)/pin_input5.532
iocell10P0[6]1m_sclk_pin(0)m_sclk_pin(0)/pin_inputm_sclk_pin(0)/pad_out17.982
Route1m_sclk_pin(0)_PADm_sclk_pin(0)/pad_outm_sclk_pin(0)_PAD0.000
Clock Clock path delay0.000
-
-
-
-
-
- - \ No newline at end of file diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_u.sdc b/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_u.sdc deleted file mode 100644 index 5fc7dd3..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/SPI_Design01_u.sdc +++ /dev/null @@ -1,3 +0,0 @@ -# Component constraints for C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\TopDesign\TopDesign.cysch -# Project: C:\Users\Tore\Dropbox\CentroPiaggio\SPI_LSM303D\SPI_Design01\SPI_Design01.cydsn\SPI_Design01.cyprj -# Date: Wed, 16 Jan 2013 13:35:44 GMT diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.c b/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.c deleted file mode 100644 index d8f3f98..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.c +++ /dev/null @@ -1,130 +0,0 @@ -/******************************************************************************* -* File Name: m_ss_pin.c -* Version 1.70 -* -* Description: -* This file contains API to enable firmware control of a Pins component. -* -* Note: -* -******************************************************************************** -* Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. -* You may use this file only in accordance with the license, terms, conditions, -* disclaimers, and limitations in the end user license agreement accompanying -* the software package with which this file was provided. -********************************************************************************/ - -#include "cytypes.h" -#include "m_ss_pin.h" - -/* APIs are not generated for P15[7:6] */ -#if !(CY_PSOC5A &&\ - m_ss_pin__PORT == 15 && (m_ss_pin__MASK & 0xC0)) - -/******************************************************************************* -* Function Name: m_ss_pin_Write -******************************************************************************** -* Summary: -* Assign a new value to the digital port's data output register. -* -* Parameters: -* prtValue: The value to be assigned to the Digital Port. -* -* Return: -* void -* -*******************************************************************************/ -void m_ss_pin_Write(uint8 value) -{ - uint8 staticBits = m_ss_pin_DR & ~m_ss_pin_MASK; - m_ss_pin_DR = staticBits | ((value << m_ss_pin_SHIFT) & m_ss_pin_MASK); -} - - -/******************************************************************************* -* Function Name: m_ss_pin_SetDriveMode -******************************************************************************** -* Summary: -* Change the drive mode on the pins of the port. -* -* Parameters: -* mode: Change the pins to this drive mode. -* -* Return: -* void -* -*******************************************************************************/ -void m_ss_pin_SetDriveMode(uint8 mode) -{ - CyPins_SetPinDriveMode(m_ss_pin_0, mode); -} - - -/******************************************************************************* -* Function Name: m_ss_pin_Read -******************************************************************************** -* Summary: -* Read the current value on the pins of the Digital Port in right justified -* form. -* -* Parameters: -* void -* -* Return: -* Returns the current value of the Digital Port as a right justified number -* -* Note: -* Macro m_ss_pin_ReadPS calls this function. -* -*******************************************************************************/ -uint8 m_ss_pin_Read(void) -{ - return (m_ss_pin_PS & m_ss_pin_MASK) >> m_ss_pin_SHIFT; -} - - -/******************************************************************************* -* Function Name: m_ss_pin_ReadDataReg -******************************************************************************** -* Summary: -* Read the current value assigned to a Digital Port's data output register -* -* Parameters: -* void -* -* Return: -* Returns the current value assigned to the Digital Port's data output register -* -*******************************************************************************/ -uint8 m_ss_pin_ReadDataReg(void) -{ - return (m_ss_pin_DR & m_ss_pin_MASK) >> m_ss_pin_SHIFT; -} - - -/* If Interrupts Are Enabled for this Pins component */ -#if defined(m_ss_pin_INTSTAT) - - /******************************************************************************* - * Function Name: m_ss_pin_ClearInterrupt - ******************************************************************************** - * Summary: - * Clears any active interrupts attached to port and returns the value of the - * interrupt status register. - * - * Parameters: - * void - * - * Return: - * Returns the value of the interrupt status register - * - *******************************************************************************/ - uint8 m_ss_pin_ClearInterrupt(void) - { - return (m_ss_pin_INTSTAT & m_ss_pin_MASK) >> m_ss_pin_SHIFT; - } - -#endif /* If Interrupts Are Enabled for this Pins component */ - -#endif -/* [] END OF FILE */ diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.h b/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.h deleted file mode 100644 index 4520034..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin.h +++ /dev/null @@ -1,125 +0,0 @@ -/******************************************************************************* -* File Name: m_ss_pin.h -* Version 1.70 -* -* Description: -* This file containts Control Register function prototypes and register defines -* -* Note: -* -******************************************************************************** -* Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. -* You may use this file only in accordance with the license, terms, conditions, -* disclaimers, and limitations in the end user license agreement accompanying -* the software package with which this file was provided. -********************************************************************************/ - -#if !defined(CY_PINS_m_ss_pin_H) /* Pins m_ss_pin_H */ -#define CY_PINS_m_ss_pin_H - -#include "cytypes.h" -#include "cyfitter.h" -#include "cypins.h" -#include "m_ss_pin_aliases.h" - -/* Check to see if required defines such as CY_PSOC5A are available */ -/* They are defined starting with cy_boot v3.0 */ -#if !defined (CY_PSOC5A) - #error Component cy_pins_v1_70 requires cy_boot v3.0 or later -#endif /* (CY_PSOC5A) */ - -/* APIs are not generated for P15[7:6] */ -#if !(CY_PSOC5A &&\ - m_ss_pin__PORT == 15 && (m_ss_pin__MASK & 0xC0)) - -/*************************************** -* Function Prototypes -***************************************/ - -void m_ss_pin_Write(uint8 value) ; -void m_ss_pin_SetDriveMode(uint8 mode) ; -uint8 m_ss_pin_ReadDataReg(void) ; -uint8 m_ss_pin_Read(void) ; -uint8 m_ss_pin_ClearInterrupt(void) ; - -/*************************************** -* API Constants -***************************************/ - -/* Drive Modes */ -#define m_ss_pin_DM_ALG_HIZ PIN_DM_ALG_HIZ -#define m_ss_pin_DM_DIG_HIZ PIN_DM_DIG_HIZ -#define m_ss_pin_DM_RES_UP PIN_DM_RES_UP -#define m_ss_pin_DM_RES_DWN PIN_DM_RES_DWN -#define m_ss_pin_DM_OD_LO PIN_DM_OD_LO -#define m_ss_pin_DM_OD_HI PIN_DM_OD_HI -#define m_ss_pin_DM_STRONG PIN_DM_STRONG -#define m_ss_pin_DM_RES_UPDWN PIN_DM_RES_UPDWN - -/* Digital Port Constants */ -#define m_ss_pin_MASK m_ss_pin__MASK -#define m_ss_pin_SHIFT m_ss_pin__SHIFT -#define m_ss_pin_WIDTH 1u - -/*************************************** -* Registers -***************************************/ - -/* Main Port Registers */ -/* Pin State */ -#define m_ss_pin_PS (* (reg8 *) m_ss_pin__PS) -/* Data Register */ -#define m_ss_pin_DR (* (reg8 *) m_ss_pin__DR) -/* Port Number */ -#define m_ss_pin_PRT_NUM (* (reg8 *) m_ss_pin__PRT) -/* Connect to Analog Globals */ -#define m_ss_pin_AG (* (reg8 *) m_ss_pin__AG) -/* Analog MUX bux enable */ -#define m_ss_pin_AMUX (* (reg8 *) m_ss_pin__AMUX) -/* Bidirectional Enable */ -#define m_ss_pin_BIE (* (reg8 *) m_ss_pin__BIE) -/* Bit-mask for Aliased Register Access */ -#define m_ss_pin_BIT_MASK (* (reg8 *) m_ss_pin__BIT_MASK) -/* Bypass Enable */ -#define m_ss_pin_BYP (* (reg8 *) m_ss_pin__BYP) -/* Port wide control signals */ -#define m_ss_pin_CTL (* (reg8 *) m_ss_pin__CTL) -/* Drive Modes */ -#define m_ss_pin_DM0 (* (reg8 *) m_ss_pin__DM0) -#define m_ss_pin_DM1 (* (reg8 *) m_ss_pin__DM1) -#define m_ss_pin_DM2 (* (reg8 *) m_ss_pin__DM2) -/* Input Buffer Disable Override */ -#define m_ss_pin_INP_DIS (* (reg8 *) m_ss_pin__INP_DIS) -/* LCD Common or Segment Drive */ -#define m_ss_pin_LCD_COM_SEG (* (reg8 *) m_ss_pin__LCD_COM_SEG) -/* Enable Segment LCD */ -#define m_ss_pin_LCD_EN (* (reg8 *) m_ss_pin__LCD_EN) -/* Slew Rate Control */ -#define m_ss_pin_SLW (* (reg8 *) m_ss_pin__SLW) - -/* DSI Port Registers */ -/* Global DSI Select Register */ -#define m_ss_pin_PRTDSI__CAPS_SEL (* (reg8 *) m_ss_pin__PRTDSI__CAPS_SEL) -/* Double Sync Enable */ -#define m_ss_pin_PRTDSI__DBL_SYNC_IN (* (reg8 *) m_ss_pin__PRTDSI__DBL_SYNC_IN) -/* Output Enable Select Drive Strength */ -#define m_ss_pin_PRTDSI__OE_SEL0 (* (reg8 *) m_ss_pin__PRTDSI__OE_SEL0) -#define m_ss_pin_PRTDSI__OE_SEL1 (* (reg8 *) m_ss_pin__PRTDSI__OE_SEL1) -/* Port Pin Output Select Registers */ -#define m_ss_pin_PRTDSI__OUT_SEL0 (* (reg8 *) m_ss_pin__PRTDSI__OUT_SEL0) -#define m_ss_pin_PRTDSI__OUT_SEL1 (* (reg8 *) m_ss_pin__PRTDSI__OUT_SEL1) -/* Sync Output Enable Registers */ -#define m_ss_pin_PRTDSI__SYNC_OUT (* (reg8 *) m_ss_pin__PRTDSI__SYNC_OUT) - - -#if defined(m_ss_pin__INTSTAT) /* Interrupt Registers */ - - #define m_ss_pin_INTSTAT (* (reg8 *) m_ss_pin__INTSTAT) - #define m_ss_pin_SNAP (* (reg8 *) m_ss_pin__SNAP) - -#endif /* Interrupt Registers */ - -#endif /* End Pins m_ss_pin_H */ - -#endif -/* [] END OF FILE */ diff --git a/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin_aliases.h b/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin_aliases.h deleted file mode 100644 index 754ab30..0000000 --- a/PSOC5_SPI_LSM303D.cydsn/codegentemp/m_ss_pin_aliases.h +++ /dev/null @@ -1,30 +0,0 @@ -/******************************************************************************* -* File Name: m_ss_pin.h -* Version 1.70 -* -* Description: -* This file containts Control Register function prototypes and register defines -* -* Note: -* -******************************************************************************** -* Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. -* You may use this file only in accordance with the license, terms, conditions, -* disclaimers, and limitations in the end user license agreement accompanying -* the software package with which this file was provided. -********************************************************************************/ - -#if !defined(CY_PINS_m_ss_pin_ALIASES_H) /* Pins m_ss_pin_ALIASES_H */ -#define CY_PINS_m_ss_pin_ALIASES_H - -#include "cytypes.h" -#include "cyfitter.h" - -/*************************************** -* Constants -***************************************/ -#define m_ss_pin_0 m_ss_pin__0__PC - -#endif /* End Pins m_ss_pin_ALIASES_H */ - -/* [] END OF FILE */ diff --git a/PSOC5_SPI_LSM303D.cydsn/main.c b/PSOC5_SPI_LSM303D.cydsn/main.c index ef7daf4..3421ec1 100644 --- a/PSOC5_SPI_LSM303D.cydsn/main.c +++ b/PSOC5_SPI_LSM303D.cydsn/main.c @@ -30,7 +30,7 @@ void main() //isr_1_Start(); /* Initializing the ISR */ UART_1_Start(); /* Enabling the UART */ - UART_1_PutString("START\n"); + //UART_1_PutString("START\n"); LCD_Position(0u,0u); LCD_PrintString("START.."); @@ -63,35 +63,40 @@ void main() start_acc(5); start_acc(6); + int i; + + UART_1_PutString("START\r\n"); while(1u){ - - - read_acc(0); + Iread_acc(0); //CyDelay(300); - read_acc(1); + Iread_acc(1); //CyDelay(300); - read_acc(2); + Iread_acc(2); //CyDelay(300); - read_acc(3); + Iread_acc(3); //CyDelay(300); - read_acc(4); + Iread_acc(4); //CyDelay(300); - read_acc(5); + Iread_acc(5); //CyDelay(300); - read_acc(6); + Iread_acc(6); + + CyDelay(200); + UART_1_PutString("\r\n"); + //Timer_1_WriteCounter(0); //Timer_1_Start(); //CyDelay(5); //uint16 counter = Timer_1_ReadCounter(); //Timer_1_WriteCounter(0); //Timer_1_Stop(); - LCD_Position(0u,0u); - LCD_PrintInt16(counter); + //LCD_Position(0u,0u); + //LCD_PrintInt16(counter); - CyDelay(1000); + //CyDelay(1000); } } @@ -161,6 +166,150 @@ void read_acc (uint8 n) SS_Write(n); // select SS CyDelay(5); + low = ReadControlRegister(RCR,LSM303D_OUT_X_L_A); // Low + CyDelay(5); + high = ReadControlRegister(RCR,LSM303D_OUT_X_H_A); // High + + two_c = (high << 8 | low); + + /* + LCD_Position(1u,0u); + LCD_PrintInt8(high); + LCD_Position(1u,2u); + LCD_PrintInt8(low); + + + sign = high >> 7; + + if(sign != 0) // negative result, form two's complement + { + two_c ^= 0xFFFF; // low = low XOR 0xFF + two_c++; + sign = 1; + } + + //two_c >>= 1; // make into whole degrees + + */ + + sprintf(OutputString, "%i", n); + //UART_1_PutString("#"); + UART_1_PutString(OutputString); + UART_1_PutString(","); + + sprintf(OutputString, "%04X", two_c); + + //if (sign == 1) { UART_1_PutString("-"); } + //if (sign == 0) { UART_1_PutString("+"); } + UART_1_PutString(OutputString); + + low = 0; + high = 0; + two_c = 0; + strcpy(OutputString, ""); + + + + // READ Y + + low = ReadControlRegister(RCR,LSM303D_OUT_Y_L_A); // Low + CyDelay(5); + high = ReadControlRegister(RCR,LSM303D_OUT_Y_H_A); // High + + two_c = (high << 8 | low); + + /* + LCD_Position(1u,5u); + LCD_PrintInt8(high); + LCD_Position(1u,7u); + LCD_PrintInt8(low); + + + + sign = high >> 7; + + if(sign != 0) // negative result, form two's complement + { + two_c ^= 0xFFFF; // low = low XOR 0xFF + two_c ++; + sign = 1; + } + + //two_c >>= 1; // make into whole degrees + */ + sprintf(OutputString, "%04X", two_c); + UART_1_PutString(","); + //if (sign == 1) { UART_1_PutString("-"); } + //if (sign == 0) { UART_1_PutString("+"); } + UART_1_PutString(OutputString); + + low = 0; + high = 0; + two_c = 0; + strcpy(OutputString, ""); + + // -------- + + + + // READ Z + low = ReadControlRegister(RCR,LSM303D_OUT_Z_L_A); // Low + CyDelay(5); + high = ReadControlRegister(RCR,LSM303D_OUT_Z_H_A); // High + + two_c = (high << 8 | low); + + /* + LCD_Position(1u,10u); + LCD_PrintInt8(high); + LCD_Position(1u,12u); + LCD_PrintInt8(low); + + sign = high >> 7; + + if(sign != 0) // negative result, form two's complement + { + two_c ^= 0xFFFF; // low = low XOR 0xFF + two_c ++; + sign = 1; + } + + //two_c >>= 1; // make into whole degrees + */ + + sprintf(OutputString, "%04X", two_c); + UART_1_PutString(","); + //if (sign == 1) { UART_1_PutString("-"); } + //if (sign == 0) { UART_1_PutString("+"); } + UART_1_PutString(OutputString); + + UART_1_PutString("\r\n"); + + low = high = two_c = 0; + strcpy(OutputString, ""); + + //UART_1_PutString("\r\n"); +} + + + +void Iread_acc (uint8 n) +{ + //uint8 i = 0u; + uint8 value = 0; + uint8 low, high; + uint16 two_c = 0; + char OutputString[7]; + int sign; + + //uint8 low2, high2; + //uint16 two_c2 = 0; + + strcpy(OutputString, ""); + + SS_Write(n); // select SS + CyDelay(5); + LCD_Position(0u,0u); LCD_PrintString("#"); @@ -206,7 +355,7 @@ void read_acc (uint8 n) // READ Y - low = ReadControlRegister(RCR,LSM303D_OUT_Y_L_A); // Low + low = ReadControlRegister(RCR,LSM303D_OUT_Y_L_A); // Low CyDelay(5); high = ReadControlRegister(RCR,LSM303D_OUT_Y_H_A); // High @@ -232,18 +381,18 @@ void read_acc (uint8 n) if (sign == 1) { UART_1_PutString("-"); } if (sign == 0) { UART_1_PutString("+"); } UART_1_PutString(OutputString); - - low = 0; + + low = 0; high = 0; two_c = 0; strcpy(OutputString, ""); - - // -------- - - - - // READ Z - low = ReadControlRegister(RCR,LSM303D_OUT_Z_L_A); // Low + + // -------- + + + + // READ Z + low = ReadControlRegister(RCR,LSM303D_OUT_Z_L_A); // Low CyDelay(5); high = ReadControlRegister(RCR,LSM303D_OUT_Z_H_A); // High @@ -269,18 +418,17 @@ void read_acc (uint8 n) if (sign == 1) { UART_1_PutString("-"); } if (sign == 0) { UART_1_PutString("+"); } UART_1_PutString(OutputString); - + UART_1_PutString("\r\n"); low = high = two_c = 0; strcpy(OutputString, ""); - + - UART_1_PutString("\r\n"); + UART_1_PutString("\r\n"); } - // This function reads data from Control Register // Depending on register set bank before uint8 ReadControlRegister(uint8 opcode, uint8 address) diff --git a/PSOC5_SPI_LSM303D.cywrk.SB b/PSOC5_SPI_LSM303D.cywrk.SB index dde0891..7e1bfa8 100644 --- a/PSOC5_SPI_LSM303D.cywrk.SB +++ b/PSOC5_SPI_LSM303D.cywrk.SB @@ -13,16 +13,14 @@ Output - + PSOC5_SPI_LSM303D PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D.cydwr -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Header Files PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Header Files\device.h PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Source Files PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Source Files\main.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5 PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Clock_1\Clock_1.c PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Clock_1\Clock_1.h @@ -136,46 +134,9 @@ PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\TopDesign.cysch PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D.cydwr PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Header Files -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Header Files\device.h PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Source Files PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Source Files\main.c PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5 -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Clock_1 -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\cy_boot -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD\LCD.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD\LCD.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD\LCD_PM.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD_LCDPort -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD_LCDPort\LCD_LCDPort.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD_LCDPort\LCD_LCDPort.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\LCD_LCDPort\LCD_LCDPort_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_miso_pin -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_miso_pin\m_miso_pin.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_miso_pin\m_miso_pin.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_miso_pin\m_miso_pin_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_mosi_pin -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_mosi_pin\m_mosi_pin.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_mosi_pin\m_mosi_pin.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_mosi_pin\m_mosi_pin_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_sclk_pin -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_sclk_pin\m_sclk_pin.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_sclk_pin\m_sclk_pin.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\m_sclk_pin\m_sclk_pin_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_1 -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_1\Pin_1.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_1\Pin_1.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_1\Pin_1_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_2 -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_2\Pin_2.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_2\Pin_2.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_2\Pin_2_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_3 -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_3\Pin_3.c -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_3\Pin_3.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_3\Pin_3_aliases.h -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\Generated_Source\PSoC5\Pin_4 @@ -224,6 +185,7 @@ PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_4.lst PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_5.lst PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_6.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_7.lst PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM.lst PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM_INT.lst PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM_IntClock.lst @@ -244,7 +206,49 @@ PSOC5_SPI_LSM303D PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D -PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D.rpt +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3 +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441 +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Clock_1.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Cm3Start.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\core_cm3.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\CyBootAsmGnu.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\CyDmac.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\cyfitter_cfg.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\CyFlash.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\CyLib.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\cyPm.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\CySpc.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\cyutils.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\LCD.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\LCD_LCDPort.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\LCD_PM.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\m_miso_pin.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\m_mosi_pin.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\m_sclk_pin.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\main.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_1.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_2.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_3.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_4.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_5.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_6.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Pin_7.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM_INT.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM_IntClock.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SPIM_PM.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\SS.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Timer_1.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\Timer_1_PM.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\UART_1.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\UART_1_BOOT.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\UART_1_INT.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\Listing Files\UART_1_PM.lst +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\PSOC5_SPI_LSM303D.elf +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\PSOC5_SPI_LSM303D.hex +PSOC5_SPI_LSM303D\PSOC5_SPI_LSM303D\CortexM3\ARM_GCC_441\Debug\PSOC5_SPI_LSM303D.map